MFSK waveform

Несколько манипулирований сдвига частоты (MFSK) непрерывная форма волны

Библиотека

Формы волны

phasedwavlib

Описание

Блок MFSK Waveform генерирует частоту кратного - сдвиг, включающий (MFSK) непрерывная форма волны с заданным временем шага, пропускной способностью развертки, смещением частоты и количеством шагов. Блок выводит целое число выборок, шагов или разверток. Для получения дополнительной информации на структуре формы волны MFSK, смотрите phased.MFSKWaveform.

Параметры

Sample rate (Hz)

Частота дискретизации сигнала, заданного как положительная скалярная величина. Модули находятся в герц.

Sweep bandwidth (Hz)

Пропускная способность развертки MFSK, заданной как положительная скалярная величина. Модули находятся в герц.

Frequency step burst time (s)

Длительность времени каждого шага частоты, заданного как положительная скалярная величина. Модули находятся в секундах.

Number of steps per sweep

Общее количество шагов в каждой развертке, заданной как ровное положительное целое число.

Chirp offset frequency (Hz)

Щебет возместил частоту, заданную как действительный скаляр. Модули находятся в герц. Смещение определяет перевод частоты между этими двумя последовательностями.

Output signal format

Формат выходного сигнала, заданного как одно из следующего:

  • 'Steps' — Блок выводит количество выборок, содержавшихся в целом числе шагов частоты, Number of steps in output.

  • 'Samples' — Блок выводит количество выборок, заданных в Number of samples in output.

  • 'Sweeps' — Блок выводит количество выборок, содержавшихся в целом числе разверток, Number of sweeps in output.

Number of sweeps in output

Количество разверток в блоке выход, заданный как положительное целое число. Этот параметр появляется только, когда вы устанавливаете Output signal format на Sweeps.

Number of samples in output

Количество выборок в блоке выход, заданный как положительное целое число. Этот параметр появляется только, когда вы устанавливаете Output signal format на Samples.

Number of steps in output

Количество шагов в блоке выход, заданный как положительное целое число. Этот параметр появляется только, когда вы устанавливаете Output signal format на Steps.

Simulate using

Блокируйте метод симуляции, заданный как Interpreted Execution или Code Generation. Если вы хотите, чтобы ваш блок использовал интерпретатор MATLAB®, выбрал Interpreted Execution. Если вы хотите, чтобы ваш блок запустился как скомпилированный код, выбрал Code Generation. Скомпилированный код требует, чтобы время скомпилировало, но обычно запускается быстрее.

Интерпретированное выполнение полезно, когда вы разрабатываете и настраиваете модель. Блок запускает базовую Систему object™ в MATLAB. Можно изменить и выполнить модель быстро. Когда вы удовлетворены своими результатами, можно затем запустить блок с помощью Code Generation. Долгие симуляции запускаются быстрее, чем они были бы в интерпретированном выполнении. Можно запустить повторенное выполнение без перекомпиляции. Однако, если вы изменяете какие-либо параметры блоков, затем блок автоматически перекомпилировал перед выполнением.

При установке этого параметра необходимо учесть полный режим симуляции модели. Таблица показывает, как параметр Simulate using взаимодействует с полным режимом симуляции.

Когда модель Simulink® находится в Accelerator режим, блочный режим, заданный с помощью Simulate using, заменяет режим симуляции.

Ускоряющие режимы

Блокируйте симуляциюПоведение симуляции
NormalAcceleratorRapid Accelerator
Interpreted ExecutionБлок выполняет использование интерпретатора MATLAB.Блок выполняет использование интерпретатора MATLAB.Создает независимый исполняемый файл из модели.
Code GenerationБлок скомпилирован.Все блоки в модели скомпилированы.

Для получения дополнительной информации смотрите Выбор Simulation Mode (Simulink).

Порты

Примечание

Порты ввода и вывода блока соответствуют параметрам ввода и вывода, описанным в step метод базового Системного объекта. Смотрите ссылку в нижней части этой страницы.

ПортПоддерживаемые типы данных
OutПлавающая точка двойной точности

Смотрите также

Представленный в R2015a

Для просмотра документации необходимо авторизоваться на сайте