Получите детали покрытия из результатов

Simulink® Coverage™ обеспечивает команды, которые позволяют вам получать определенную информацию о покрытии из cvdata объект после того, как вы симулировали свою модель и записали покрытие. Используйте эти команды, чтобы получить указанную информацию покрытия для блока, подсистемы или графика Stateflow® в вашей модели или для самой модели:

  • complexityinfo — Цикломатическое покрытие сложности

  • executioninfo — Покрытие выполнения

  • conditioninfo — Покрытие условия

  • decisioninfo — Decision Coverage

  • mcdcinfo — Модифицированное условие/решение (MCDC) покрытие

  • overflowsaturationinfo — Насыщайте на покрытии целочисленного переполнения

  • relationalboundaryinfo — Реляционное граничное покрытие

  • sigrangeinfo — Покрытие диапазона сигнала

  • sigsizeinfo — Покрытие размера сигнала

  • tableinfo — Покрытие блока Lookup Table

  • getCoverageinfo — Покрытие для блоков Simulink Design Verifier™

Базовый синтаксис этих функций:

coverage = <coverage_type_prefix>info(cvdo, ...
	object, ignore_descendants)
  • coverage — Многослойный вектор, содержащий полученные результаты покрытия для object

  • cvdocvdata объект создал, когда покрытие зарегистрировано

  • object — Обработайте к модели или объекту в модели

  • ignore_descendants — Логическое значение, которое задает, проигнорировать ли покрытие объектов-потомков

Для просмотра документации необходимо авторизоваться на сайте