Сконфигурируйте проект синтеза Используя скрипт Tcl

Можно добавить скрипт Tcl, который конфигурирует проект синтеза.

Сконфигурировать ваш проект синтеза с помощью скрипта Tcl:

  1. Создайте скрипт Tcl, который содержит команды, чтобы настроить ваш проект синтеза.

    Например, чтобы задать стиль конечного автомата:

    • Для Xilinx® ISE создайте скрипт Tcl, который содержит следующую линию:

      project set "FSM Encoding Algorithm" "Gray" -process "Synthesize - XST" 

    • Для Xilinx Vivado® создайте скрипт Tcl, который содержит следующую линию:

      set_property STEPS.SYNTH_DESIGN.ARGS.FSM_EXTRACTION gray [get_runs synth_1]

  2. В HDL Workflow Advisor, в FPGA Synthesis and Analysis> задача Create Project, в поле Additional source files, вводят полный путь в файл Tcl вручную, или при помощи кнопки Add.

Когда HDL Coder™ создает проект, скрипт Tcl выполняется, чтобы применить настройки проекта синтеза.

Для просмотра документации необходимо авторизоваться на сайте