Специфичные для языка идентификаторы

Этот раздел содержит параметры в разделе Clock Settings theHDL Code Generation> панель Global Settings диалогового окна Configuration Parameters. Используя эти параметры, можно задать сущность, модуль, и постфикс имени пакета и префикс для имен модуля.

Постфикс конфликта сущности

Задайте текст как вектор символов, чтобы разрешить дублирующуюся сущность VHDL® или имена модуля Verilog® в сгенерированном коде.

Настройки

Значение по умолчанию: _block

Заданный постфикс разрешает дублирующиеся имена модуля сущности или Verilog VHDL.

Например, если HDL Coder™ обнаруживает две сущности с именем MyFilter, кодер называет первую сущность MyFilter и вторая сущность MyFilter_block.

Информация о командной строке

Свойство: EntityConflictPostfix
Ввод: символьный вектор
Значение: вектор допустимого символа на выходном языке
Значение по умолчанию: '_block'

Чтобы установить это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Например, можно задать это свойство, когда вы генерируете HDL-код для symmetric_fir подсистема в sfir_fixed модель с помощью любого из этих методов.

  • Передайте свойство в качестве аргумента к makehdl функция.

    makehdl('sfir_fixed/symmetric_fir', ... 
            'EntityConflictPostfix','_entity')
  • Когда вы используете hdlset_param, можно установить параметр на модели и затем сгенерировать HDL-код с помощью makehdl.

    hdlset_param('sfir_fixed','EntityConflictPostfix','_entity')
    makehdl('sfir_fixed/symmetric_fir')

Смотрите также

makehdl

Постфикс пакета

Задайте текст как вектор символов, чтобы добавить к модели или имени подсистемы, чтобы сформировать имя файла пакета.

Настройки

Значение по умолчанию: _pkg

HDL Coder применяет эту опцию, только если файл пакета требуется для проекта.

Зависимость

Эта опция включена когда:

Выходной язык (заданный опцией Language ) является VHDL.

Выходным языком (заданный опцией Language ) является Verilog, и опция Multi-file test bench выбрана.

Информация о командной строке

Свойство: PackagePostfix
Ввод: символьный вектор
Значение: вектор символов, который законен в имени файла пакета VHDL
Значение по умолчанию: '_pkg'

Чтобы установить это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Например, можно задать это свойство, когда вы генерируете HDL-код для symmetric_fir подсистема в sfir_fixed модель с помощью любого из этих методов.

  • Передайте свойство в качестве аргумента к makehdl функция.

    makehdl('sfir_fixed/symmetric_fir', ... 
            'PackagePostfix','_pkg')
  • Когда вы используете hdlset_param, можно установить параметр на модели и затем сгенерировать HDL-код с помощью makehdl.

    hdlset_param('sfir_fixed','PackagePostfix','_pkg')
    makehdl('sfir_fixed/symmetric_fir')

Постфикс зарезервированного слова

Задайте текст как вектор символов, чтобы добавить, чтобы оценить имена, постфиксные значения или метки, которые являются зарезервированными словами VHDL или Verilog.

Настройки

Значение по умолчанию: _rsvd

Постфикс зарезервированного слова применяется к идентификаторам (для сущностей, сигналов, констант или других элементов модели) что конфликт с зарезервированными словами VHDL или Verilog. Например, если ваша генерирующаяся модель содержит сигнал под названием mod, HDL Coder добавляет постфиксный _rsvd сформировать имя mod_rsvd.

Информация о командной строке

Свойство: ReservedWordPostfix
Ввод: символьный вектор
Значение по умолчанию: '_rsvd'

Чтобы установить это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Например, можно задать это свойство, когда вы генерируете HDL-код для symmetric_fir подсистема в sfir_fixed модель с помощью любого из этих методов.

  • Передайте свойство в качестве аргумента к makehdl функция.

    makehdl('sfir_fixed/symmetric_fir', ... 
            'ReservedWordPostfix','_reserved')
  • Когда вы используете hdlset_param, можно установить параметр на модели и затем сгенерировать HDL-код с помощью makehdl.

    hdlset_param('sfir_fixed','ReservedWordPostfix','_reserved)
    makehdl('sfir_fixed/symmetric_fir')

Префикс имени модуля

Задайте префикс для каждого модуля или имени сущности в сгенерированном HDL-коде.

Настройки

Значение по умолчанию: ''

Задайте префикс для каждого модуля или имени сущности в сгенерированном HDL-коде. HDL Coder также применяет этот префикс к сгенерированным именам файлов скрипта.

Можно задать префикс имени модуля, чтобы избежать столкновений имени, если вы планируете инстанцировать сгенерированного HDL-кода многократно в большей системе.

Информация о командной строке

Свойство: ModulePrefix
Ввод: символьный вектор
Значение по умолчанию: ''

Чтобы установить это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Предположим, что у вас есть DUT, myDut, содержа внутренний модуль, myUnit. Можно снабдить префиксом модули в рамках проекта с unit1_ при помощи любого из этих методов.

  • Передайте свойство в качестве аргумента к makehdl функция.

    makehdl('myDUT', ... 
            'ModulePrefix','unit1_')
  • Когда вы используете hdlset_param, можно установить параметр на модели и затем сгенерировать HDL-код с помощью makehdl.

    hdlset_param('myUnit/myDUT','ModulePrefix','unit1_')
    makehdl('myDUT')

В сгенерированном коде вашими именами модуля HDL является unit1_myDut и unit1_myUnit, с соответствующими именами файлов HDL. Сгенерированные имена файлов скрипта также имеют unit1_ префикс.

Для просмотра документации необходимо авторизоваться на сайте