Цель

Этот раздел содержит параметры в панели HDL Code Generation диалогового окна Configuration Parameters. При помощи этих параметров можно задать Subsystem, что вы хотите сгенерировать HDL-код для, целевой язык HDL и целевая папка, в которую сгенерирован код.

Сгенерируйте HDL для

Выберите подсистему или модель, из которой сгенерирован код. Список включает путь к корневой модели и к подсистемам в модели. Когда вы задаете этот параметр и нажимаете кнопку Generate, HDL Coder™ генерирует код для Subsystem, который вы задаете. По умолчанию HDL-код сгенерирован на языке VHDL и в hdlsrc папка.

Настройки

Значение по умолчанию: подсистема верхнего уровня в корневой модели выбрана.

Информация о командной строке

Свойство: HDLSubsystem
Ввод: символьный вектор
Значение: допустимый путь к вашей подсистеме
Значение по умолчанию: Путь к подсистеме верхнего уровня в корневой модели

Например, можно сгенерировать HDL-код для symmetric_fir подсистема в sfir_fixed модель с помощью любого из этих методов.

  • Задайте подсистему с помощью свойства HDLSubsystem в качестве аргумента к makehdl.

    makehdl('sfir_fixed','HDLSubsystem','sfir_fixed/symmetric_fir')

  • Передайте в пути к подсистеме в качестве первого аргумента к makehdl.

    makehdl('sfir_fixed/symmetric_fir')

См. также makehdl.

Язык

Выберите язык (VHDL® или Verilog®), в котором сгенерирован код. Выбранный язык упоминается как выходной язык. Когда вы задаете Language и нажимаете кнопку Generate, HDL Coder генерирует код на том языке для Subsystem, который задан параметром Generate HDL for. По умолчанию HDL-код сгенерирован в VHDL язык и в hdlsrc папка.

Сгенерированный HDL-код выполняет эти стандарты:

  • (IEEE® 1076-1993) VHDL-1993 или позже

  • Verilog-2001 (IEEE 1364-2001) или позже

Настройки

Значение по умолчанию: VHDL

VHDL

Сгенерируйте код VHDL.

Verilog

Сгенерируйте код Verilog.

Информация о командной строке

Свойство: TargetLanguage
Ввод: символьный вектор
Значение: 'VHDL' | 'Verilog'
Значение по умолчанию: 'VHDL'

Чтобы установить это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Например, чтобы сгенерировать код Verilog для symmetric_fir подсистема в sfir_fixed модель, используйте любой из этих методов.

  • Передайте свойство в качестве аргумента к makehdl функция.

    makehdl('sfir_fixed/symmetric_fir','TargetLanguage','Verilog')
  • Используйте hdlset_param устанавливать параметр на модели. Затем сгенерируйте HDL-код с помощью makehdl.

    hdlset_param('sfir_fixed','TargetLanguage','Verilog')
    makehdl('sfir_fixed/symmetric_fir')

См. также makehdl.

Папка

Введите путь к папке, в которую сгенерирован код. В качестве альтернативы нажмите Browse, чтобы перейти к и выбрать папку. Выбранная папка упоминается как целевая папка. Когда вы задаете Folder и нажимаете кнопку Generate, HDL Coder генерирует код в ту папку для Subsystem, который задан параметром Generate HDL for. По умолчанию HDL-код сгенерирован в VHDL язык и в hdlsrc папка.

Настройки

Значение по умолчанию: целевая папка по умолчанию является подпапкой вашей рабочей папки, названной hdlsrc. HDL Coder записывает сгенерированные файлы в эту подпапку. Имя папки может быть именем полного пути в виде вектора символов.

Информация о командной строке

Свойство: TargetDirectory
Ввод: символьный вектор
Значение: допустимый путь к вашей целевой папке
Значение по умолчанию: 'hdlsrc'

Чтобы установить это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Например, чтобы сгенерировать HDL-код в пользовательскую целевую папку для symmetric_fir подсистема в sfir_fixed модель, используйте любой из этих методов.

  • Передайте свойство в качестве аргумента к makehdl функция.

    makehdl('sfir_fixed/symmetric_fir','TargetDirectory','C:/Temp/hdlsrc')
  • Используйте hdlset_param устанавливать параметр на модели. Затем сгенерируйте HDL-код с помощью makehdl.

    hdlset_param('sfir_fixed','TargetDirectory','C:/Temp/hdlsrc')
    makehdl('sfir_fixed/symmetric_fir')

См. также makehdl.

Восстановите значения по умолчанию модели

Эта кнопка сбрасывает настройки HDL уровня модели к значениям по умолчанию. Настройки блока не изменяются. Чтобы очистить настройки блока, используйте hdlrestoreparams.

Примечание

Если вы очищаете настройки уровня модели, вы не можете восстановить предыдущие настройки. Чтобы восстановить настройки, закройте модель, не сохраняя и затем вновь откройте модель.

Информация о командной строке

Функция: hdlrestoreparams
Ввод: символьный вектор
Значение: имя модели
Значение по умолчанию: ''

Запустите программу контроля совместимости

Эта установка проверяет, совместим ли Subsystem, который вы задаете при помощи Generate HDL for, для генерации HDL-кода. Установка генерирует Отчет Проверки HDL, что ошибки отображений, предупреждения, и обмениваются сообщениями. Смотрите Подсистему Проверки для Совместимости HDL.

Информация о командной строке

Функция: checkhdl
Ввод: символьный вектор
Значение: подсистема или имя модели
Значение по умолчанию: ''

Смотрите также

checkhdl

Сгенерировать

Эта установка генерирует HDL-код для Subsystem, который вы задаете при помощи Generate HDL for. Если Subsystem не совместим с HDL, ошибки отображений генератора кода в Отчете Проверки HDL.

Информация о командной строке

Функция: makehdl
Ввод: символьный вектор
Значение: подсистема или имя модели
Значение по умолчанию: ''

Смотрите также

makehdl

Для просмотра документации необходимо авторизоваться на сайте