Параметры аннотации RTL

Эта страница описывает параметры, которые находятся во вкладке HDL Code Generation> Global Settings> Coding Style диалогового окна Configuration Parameters.

Используйте `timescale Verilog директивы

Задайте использование компилятора `timescale директивы в сгенерированном коде Verilog®.

Настройки

Значение по умолчанию: On

On

Используйте компилятор `timescale директивы в сгенерированном коде Verilog.

Off

Подавите использование компилятора `timescale директивы в сгенерированном коде Verilog.

Совет

`timescale директива обеспечивает способ задать различные значения задержки для нескольких модулей в файле Verilog. Эта установка не влияет на сгенерированный испытательный стенд.

Зависимость

Эта опция включена, когда выходным языком (заданный опцией Language ) является Verilog.

Информация о командной строке

Свойство: UseVerilogTimescale
Ввод: символьный вектор
Значение: 'on' | 'off'
Значение по умолчанию: 'on'

Чтобы установить это свойство, использовать hdlset_param или makehdl. Чтобы просмотреть значение свойства, использовать hdlget_param.

Verilog timescale спецификация

Задайте масштаб времени, который вы хотите использовать в сгенерированном коде Verilog.

Настройки

Значение по умолчанию: `timescale 1 ns/1 ns

HDL Coder™ применяет эту опцию к директиве масштаба времени в сгенерированном коде Verilog. Можно настроить масштаб времени по умолчанию и задать допустимую, компилируемую директиву масштаба времени. Язык Verilog использует эту директиву, чтобы определить единицы измерения времени и точность для вычисления значений задержки.

По умолчанию и единицами измерения времени и точностью является 1ns. Например, если вы настроили масштаб времени к `timescale 1 ns/1 ps, модуль задержки становится 1ns и значение точно к самому близкому 1 ps.

Зависимость

Эта опция включена когда:

  • Выходным языком (заданный опцией Language ) является Verilog.

  • Опция Use Verilog `timescale directives включена.

Информация о командной строке

Свойство: Timescale
Ввод: символьный вектор
Значение: вектор символов, который является допустимым значением масштаба времени
Значение по умолчанию: `timescale 1 ns/1 ns

Встроенная настройка VHDL

Задайте, включает ли сгенерированный код VHDL® встроенные настройки.

Настройки

Значение по умолчанию: On

On

Включайте настройки VHDL в файлы, которые инстанцируют компонента.

Off

Подавите генерацию настроек и потребуйте предоставленных пользователями внешних настроек. Используйте эту установку, если вы создаете свои собственные конфигурационные файлы VHDL.

Совет

Настройки HDL могут быть или встроенными с остальной частью кода VHDL для сущности или внешними в отдельных исходных файлах VHDL. По умолчанию HDL Coder включает настройки для модели в рамках сгенерированного кода VHDL. Если вы создаете свои собственные конфигурационные файлы VHDL, подавляете генерацию встроенных настроек.

Зависимость

Эта опция включена, когда выходной язык (заданный опцией Language ) является VHDL.

Информация о командной строке

Свойство: InlineConfigurations
Ввод: символьный вектор
Значение: 'on' | 'off'
Значение по умолчанию: 'on'

Чтобы установить это свойство, использовать hdlset_param или makehdl. Чтобы просмотреть значение свойства, использовать hdlget_param.

Конкатенация безопасных с точки зрения типов нулей

Задайте использование синтаксиса для конкатенированных нулей в сгенерированном коде VHDL.

Настройки

Значение по умолчанию: On

On

Используйте безопасный с точки зрения типов синтаксис, '0' & '0', для конкатенированных нулей. Как правило, этот синтаксис предпочтен.

Off

Используйте синтаксис "000000..."для конкатенированных нулей. Этот синтаксис может быть легче считать и более компактный, но он может привести к неоднозначным типам.

Зависимость

Эта опция включена, когда выходной язык (заданный опцией Language ) является VHDL.

Информация о командной строке

Свойство: SafeZeroConcat
Ввод: символьный вектор
Значение: 'on' | 'off'
Значение по умолчанию: 'on'

Чтобы установить это свойство, использовать hdlset_param или makehdl. Чтобы просмотреть значение свойства, использовать hdlget_param.

Сгенерируйте запутываемый HDL-код

Задайте генерацию запутываемого HDL-кода. При помощи путаницы можно совместно использовать HDL-код с третьим лицом, не показывая интеллектуальную собственность. Путаница уменьшает удобочитаемость кода. Сгенерированный HDL-код не имеет комментариев, новых строк или пробелов, и заменяет имена идентификатора на другие случайные имена.

Настройки

Значение по умолчанию: Off

On

Сгенерируйте запутываемый HDL-код.

Off

Не генерируйте запутываемый HDL-код.

Зависимость

Чтобы включить этот параметр, флажок Generate HDL Code должен быть установлен.

Информация о командной строке

Свойство: ObfuscateGeneratedHDLCode
Ввод: символьный вектор
Значение: 'on' | 'off'
Значение по умолчанию: 'off'

Чтобы установить это свойство, использовать hdlset_param или makehdl. Чтобы просмотреть значение свойства, использовать hdlget_param.

  • Сгенерировать запутываемый HDL-код при помощи makehdl:

    makehdl('dutname', 'ObfuscateGeneratedHDLCode', 'on')

  • Сгенерировать запутываемый HDL-код при помощи hdlset_param:

    hdlset_param('modelname', 'ObfuscateGeneratedHDLCode', 'on')
    makehdl('dutname')

Для просмотра документации необходимо авторизоваться на сайте