Параметры скрипта симуляции

Эта страница описывает параметры конфигурации, которые находятся во вкладке HDL Code Generation> EDA Tool Scripts> Simulation Script диалогового окна Configuration Parameters.

Постфикс файла симуляции

Задайте постфикс, чтобы добавить к DUT или имени испытательного стенда, чтобы сформировать имя файла скрипта симуляции.

Настройки

Значение по умолчанию: _sim.do

Например, если именем устройства под испытательным или испытательным стендом является my_design, HDL Coder™ добавляет постфиксный _sim.do сформировать имя my_design_sim.do.

Информация о командной строке

Свойство: HDLSimFilePostfix
Ввод: символьный вектор
Значение по умолчанию: '_sim.do'

Чтобы установить это свойство, использовать hdlset_param или makehdl. Чтобы просмотреть значение свойства, использовать hdlget_param.

Смотрите также

Инициализация симуляции

Имя формата передало fprintf записать раздел инициализации скрипта симуляции.

Настройки

Значение по умолчанию: значение по умолчанию

 ['onbreak resume\nonerror resume\n'] 

Init фаза скрипта выполняет требуемые действия настройки, такие как создание библиотеки проекта или файла проекта.

Информация о командной строке

Свойство: HDLSimInit
Ввод: символьный вектор
Значение по умолчанию: ['onbreak resume\nonerror resume\n']

Чтобы установить это свойство, использовать hdlset_param или makehdl. Чтобы просмотреть значение свойства, использовать hdlget_param.

Смотрите также

Команда симуляции

Имя формата передало fprintf записать команду симуляции.

Настройки

Значение по умолчанию: vsim -voptargs=+acc %s.%s\n

Первый неявный аргумент, %s, имя библиотеки. Второй неявный аргумент является модулем верхнего уровня или именем сущности. Если вашим выходным языком является VHDL®, имя библиотеки является значением имени библиотеки VHDL. Если вашим выходным языком является Verilog®, именем библиотеки является 'work' и не может быть изменен.

Если вы компилируете свое создание фильтра с кодом от других библиотек, обновляете VHDL library name, чтобы избежать конфликтов по совпадению имен библиотеки.

Примечание

До R2020b командой симуляции по умолчанию был vsim -novopt %s.%s\n. Наставник версии Graphics® ModelSim® до 10.7 поддержите бывший синтаксис. Если вы используете более свежую версию Mentor Graphics ModelSim, используйте vsim -voptargs=+acc %s.%s\n синтаксис.

Информация о командной строке

Свойство: HDLSimCmd
Ввод: символьный вектор
Значение по умолчанию: 'vsim -novopt %s.%s\n'

Чтобы установить это свойство, использовать hdlset_param или makehdl. Чтобы просмотреть значение свойства, использовать hdlget_param.

Смотрите также

Команда просмотра формы волны симуляции

Задайте команду просмотра формы волны, записанную в скрипт симуляции.

Настройки

Значение по умолчанию: add wave sim:%s\n

Неявный аргумент, %s, добавляет пути прохождения сигнала для входа верхнего уровня DUT, выхода и выходных опорных сигналов.

Информация о командной строке

Свойство: HDLSimViewWaveCmd
Ввод: символьный вектор
Значение по умолчанию: 'add wave sim:%s\n'

Чтобы установить это свойство, использовать hdlset_param или makehdl. Чтобы просмотреть значение свойства, использовать hdlget_param.

Смотрите также

Завершение симуляции

Имя формата передало fprintf записать фрагмент завершения скрипта симуляции.

Настройки

Значение по умолчанию: run -all\n

Фаза завершения (Term) итоговая фаза выполнения скрипта. Одно приложение этой фазы должно выполнить симуляцию HDL-кода, который был скомпилирован в Cmd фаза. Term фаза не берет аргументы.

Информация о командной строке

Свойство: HDLSimTerm
Ввод: символьный вектор
Значение по умолчанию: 'run -all\n'

Чтобы установить это свойство, использовать hdlset_param или makehdl. Чтобы просмотреть значение свойства, использовать hdlget_param.

Смотрите также

Флаги средства моделирования

Задайте флаги средства моделирования, чтобы примениться к сгенерированным скриптам компиляции.

Настройки

Значение по умолчанию: '' (никакие флаги средства моделирования)

Задайте флаги средства моделирования, чтобы примениться к сгенерированным скриптам компиляции как к вектору символов. Флаги средства моделирования характерны для вашего приложения и средства моделирования, которое вы используете. Например, если необходимо использовать 1076–1993 компилятора VHDL, задайте флаг -93.

Флаги, которые вы задаете с этой опцией, добавляются к команде компиляции в сгенерированных скриптах компиляции. Команда симуляции задана HDLCompileVHDLCmd или HDLCompileVerilogCmd свойства.

Информация о командной строке

Свойство: SimulatorFlags
Ввод: символьный вектор
Значение по умолчанию: ''

Чтобы установить это свойство, использовать hdlset_param или makehdl. Чтобы просмотреть значение свойства, использовать hdlget_param.

Смотрите также

Для просмотра документации необходимо авторизоваться на сайте