step

Системный объект: visionhdl.ImageFilter
Пакет: visionhdl

Описание

Примечание

Запуск в R2016b, вместо того, чтобы использовать step метод, чтобы выполнить операцию, заданную Системой object™, можно вызвать объект с аргументами, как будто это была функция. Например, y = step(obj,x) и y = obj(x) выполните эквивалентные операции.

[pixelOut,ctrlOut] = step(filt,pixelIn,ctrlIn) возвращает следующий пиксель, pixelOut, из отфильтрованного изображения, следующего из применения коэффициентов в Coefficients свойство к изображению, описанному потоком входного пикселя, pixelIn.

[pixelOut,ctrlOut] = step(filt,pixelIn,ctrlIn,coeff) возвращает следующий пиксель, pixelOut, из отфильтрованного изображения, следующего из применения коэффициентов в coeff аргумент к изображению, описанному потоком входного пикселя, pixelIn. Объектные выборки значения от coeff аргумент только в начале системы координат и игнорирует любые изменения в системе координат. Чтобы включить этот синтаксис, установите CoefficientsSource свойство к 'Input port'.

Примечание

Системный объект выполняет инициализацию в первый раз, когда вы вызываете step метод. Эта инициализация блокирует ненастраиваемые свойства и входные технические требования, такие как размерности, сложность и тип данных входных данных. Если вы изменяете ненастраиваемое свойство или входную спецификацию, объект выдает ошибку. Чтобы изменить ненастраиваемые свойства или входные параметры, сначала вызовите release метод, чтобы разблокировать объект.

Входные параметры

развернуть все

Задайте visionhdl.ImageFilter Системный объект, который вы создали и сконфигурировали.

Один пиксель в виде скалярного значения.

double и single типы данных поддерживаются для симуляции, но не для генерации HDL-кода.

Можно симулировать Системные объекты с мультипиксельным интерфейсом потоковой передачи, но Системные объекты, которые используют мультипиксельные потоки, не поддерживаются для генерации HDL-кода. Используйте эквивалентные блоки, чтобы сгенерировать HDL-код для мультипиксельных алгоритмов.

Типы данных: single | double | int8 | int16 | int32 | int64 | uint8 | uint16 | uint32 | uint64 | fi

Управляющие сигналы, сопровождающие поток входного пикселя в виде pixelcontrol структура, содержащая пять logical сигналы типа данных. Сигналы описывают валидность пикселя и его местоположения в системе координат. Для получения дополнительной информации смотрите Пиксельную Структуру управления.

Типы данных: struct

Отфильтруйте коэффициенты в виде матрицы. Каждая размерность матрицы должна иметь по крайней мере 2 элемента и не больше, чем 16 элементов. Объектные выборки значения от coeff аргумент только в начале системы координат и игнорирует любые изменения в системе координат.

Чтобы включить этот аргумент, установите CoefficientsSource свойство к 'Input port'.

Типы данных: single | double | int8 | int16 | int32 | int64 | uint8 | uint16 | uint32 | uint64 | fi

Выходные аргументы

развернуть все

Один отфильтрованный пиксель, возвращенный как скалярное значение.

Сконфигурируйте тип данных выходного пикселя при помощи OutputDataType и CustomOutputDataType свойства.

Сопровождение управляющих сигналов вывело пиксельный поток, возвращенный как pixelcontrol структура, содержащая пять logical сигналы типа данных. Сигналы описывают валидность пикселя и его местоположения в системе координат. Для получения дополнительной информации смотрите Пиксельную Структуру управления.

Типы данных: struct

Представленный в R2015a
Для просмотра документации необходимо авторизоваться на сайте