Сгенерируйте CRC-8 контрольную сумму в Simulink

Сгенерируйте CRC-8 контрольную сумму для примера, показанного в 802.11™-2016, раздел 21.3.10.3 и сравните с ожидаемым CRC.

Исследуйте модель

Чтобы выровнять с вычислением CRC в 802.11-2016, блок-маска General CRC Generator устанавливает полином генератора, $z^8 + z^2 + z + 1$начальные состояния равными 1, конечный параметр XOR равный 1 и выбирает прямой метод.

Симуляция сконфигурирована, чтобы обработать один входной кадр, моделируя пример из стандарта 802.11-2016 в разделе 21.3.10.3. В примере входной битовый поток {m0,... m22} - {1 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1}, и ожидаемая контрольная сумма CRC {c7,... c0} - {0 0 0 1 1 1 0 0}. Сгенерированные биты контрольной суммы CRC сравниваются с ожидаемыми битами и 1 выводится для каждого равного бита в 8-разрядной контрольной сумме CRC.

comparedOut =

  8x1 logical array

   1
   1
   1
   1
   1
   1
   1
   1

Ссылки

[1] Стандарт IEEE Std 802.11™-2016 IEEE на информационные технологии - Локальные и столичные сети - Особые требования Часть 11: Спецификации беспроводных LAN MAC и PHY.

Для просмотра документации необходимо авторизоваться на сайте