Преобразуйте Декартов в полярные с помощью ядра векторизации CORDIC

Этот пример показывает, как преобразовать Декартовы координаты в полярные с помощью алгоритма ядра векторизации CORDIC в MATLAB ®. Основанные на CORDIC алгоритмы имеют решающее значение для многих встраиваемых приложений, включая управление двигателем, навигацию, обработку сигналов и беспроводную связь.

Введение

CORDIC - это аббревиатура для COordinate Rotation DIgital Computer. Алгоритм CORDIC на основе вращения Givens (см. [1,2]) является одним из наиболее аппаратно эффективных алгоритмов, потому что он требует только итерационных операций shift-add. Алгоритм CORDIC устраняет необходимость в явных умножителях и подходит для вычисления множества функций, таких как синус, косинус, арксин, арксозин, арктангенс, векторные величины, деление, квадратный корень, гиперболические и логарифмические функции.

Алгоритм CORDIC с фиксированной точкой требует следующих операций:

  • Поиск по 1 таблице на итерацию

  • 2 сдвиги на итерацию

  • 3 сложения за итерацию

Алгоритм ядра CORDIC, использующий режим расчета

Можно использовать алгоритм режима вычисления векторизации CORDIC, чтобы вычислить atan(y/x), вычисление декартово-полярных в декартовые преобразования и для других операций. В режиме векторизации вращатель CORDIC вращает входной вектор к положительной оси X, чтобы минимизировать$$ y $$ компонент вектора невязок. Для каждой итерации, если$$ y $$ координата вектора невязок положительная, вращатель CORDIC вращается по часовой стрелке (с использованием отрицательного угла); в противном случае он вращается против часовой стрелки (с помощью положительного угла). Каждое вращение использует постепенно меньшее значение угла. Если аккумулятор угла инициализирован равным 0, в конце итераций накопленный угол поворота является углом исходного входного вектора.

В режиме векторизации уравнения CORDIC:

$$ x_{i+1} = x_{i} - y_{i}*d_{i}*2^{-i} $$

$$ y_{i+1} = y_{i} + x_{i}*d_{i}*2^{-i} $$

$$ z_{i+1} = z_{i} + d_{i}*\mbox{atan}(2^{-i}) $$ - аккумулятор угла

где если$$ d_{i} = +1 $$ и $$ y_{i} < 0 $$$$ -1 $$в противном случае;

$$ i = 0, 1, ..., N-1 $$, и$$ N $$ общее количество итераций.

По мере$$ N $$ приближения:$$ +\infty $$

$$ x_{N} = A_{N}\sqrt{x_{0}^2+y_{0}^2} $$

$$ y_{N} = 0 $$

$$ z_{N} = z_{0} + \mbox{atan}(y_{0}/x_{0}) $$

Где:

$$ A_{N} = \prod_{i=0}^{N-1}{\sqrt{1+2^{-2i}}} $$.

Обычно$$ N $$ выбирается как достаточно большое постоянное значение. Таким образом,$$ A_{N} $$ могут быть предварительно вычислены.

Эффективная реализация MATLAB алгоритма CORDIC Vectoring Kernel

Пример реализации кода MATLAB алгоритма CORDIC Vectoring Kernel следует (для случая скаляра x, y, и z). Этот же код может использоваться как для операции с фиксированной точкой, так и для операции с плавающей точкой.

Ядро векторизации CORDIC

function [x, y, z] = cordic_vectoring_kernel(x, y, z, inpLUT, n)
% Perform CORDIC vectoring kernel algorithm for N iterations.
xtmp = x;
ytmp = y;
for idx = 1:n
    if y < 0
        x(:) = accumneg(x, ytmp);
        y(:) = accumpos(y, xtmp);
        z(:) = accumneg(z, inpLUT(idx));
    else
        x(:) = accumpos(x, ytmp);
        y(:) = accumneg(y, xtmp);
        z(:) = accumpos(z, inpLUT(idx));
    end
    xtmp = bitsra(x, idx); % bit-shift-right for multiply by 2^(-idx)
    ytmp = bitsra(y, idx); % bit-shift-right for multiply by 2^(-idx)
end

Декартовое преобразование в полярное на основе CORDIC с использованием нормализованных входных модулей

Декартовы-полярные расчеты с использованием ядра векторизации CORDIC

Разумный выбор начальных значений позволяет алгоритму CORDIC vectoring mode непосредственно вычислить величину$$ R = \sqrt{x_{0}^2+y_{0}^2} $$ и угол.$$ \theta = \mbox{atan}(y_{0}/x_{0}) $$

Входные аккумуляторы инициализируются по входным значениям координат:

  • $$ x_{0} = X $$

  • $$ y_{0} = Y $$

Аккумулятор угла инициализируется в нуль:

  • $$ z_{0} = 0 $$

После$$ N $$ итераций эти начальные значения приводят к следующим выходам как$$ N $$ подходы:$$ +\infty $$

  • $$ x_{N} \approx A_{N}\sqrt{x_{0}^2+y_{0}^2} $$

  • $$ z_{N} \approx \mbox{atan}(y_{0}/x_{0}) $$

Другие основанные на векторизации приближения функций возможны с помощью предварительной и постобработки и с использованием других начальных условий (см. [1,2]).

Пример

Предположим, что у вас есть некоторые измерения Декартовых (X, Y) данных, нормированных к значениям между [-1, 1), которые вы хотите преобразовать в полярные (величина, угол) координаты. Также предположим, что у вас есть 16-битный целочисленный арифметический модуль, которая может выполнять операции сложения, вычитания, сдвига и памяти. С помощью такого устройства можно было бы реализовать ядро векторизации CORDIC, чтобы эффективно вычислить величину и угол от входных (X, Y) значений координат, без использования умножений или больших интерполяционных таблиц.

sumWL  = 16; % CORDIC sum word length
thNorm = -1.0:(2^-8):1.0; % Also using normalized [-1.0, 1.0] angle values
theta  = fi(thNorm, 1, sumWL); % Fixed-point angle values (best precision)
z_NT   = numerictype(theta);   % Data type for Z
xyCPNT = numerictype(1,16,15); % Using normalized X-Y range [-1.0, 1.0)
thetaRadians = pi/2 .* thNorm; % real-world range [-pi/2 pi/2] angle values
inXfix = fi(0.50 .* cos(thetaRadians), xyCPNT); % X coordinate values
inYfix = fi(0.25 .* sin(thetaRadians), xyCPNT); % Y coordinate values

niters = 13; % Number of CORDIC iterations
inpLUT = fi(atan(2 .^ (-((0:(niters-1))'))) .* (2/pi), z_NT); % Normalized
z_c2p  = fi(zeros(size(theta)), z_NT);   % Z array pre-allocation
x_c2p  = fi(zeros(size(theta)), xyCPNT); % X array pre-allocation
y_c2p  = fi(zeros(size(theta)), xyCPNT); % Y array pre-allocation

for idx = 1:length(inXfix)
    % CORDIC vectoring kernel iterations
    [x_c2p(idx), y_c2p(idx), z_c2p(idx)] = ...
        fidemo.cordic_vectoring_kernel(...
            inXfix(idx), inYfix(idx), fi(0, z_NT), inpLUT, niters);
end

% Get the Real World Value (RWV) of the CORDIC outputs for comparison
% and plot the error between the (magnitude, angle) values
AnGain       = prod(sqrt(1+2.^(-2*(0:(niters-1))))); % CORDIC gain
x_c2p_RWV    = (1/AnGain) .* double(x_c2p); % Magnitude (scaled by CORDIC gain)
z_c2p_RWV    =   (pi/2)   .* double(z_c2p); % Angles (in radian units)
[thRWV,rRWV] = cart2pol(double(inXfix), double(inYfix)); % MATLAB reference
magnitudeErr = rRWV - x_c2p_RWV;
angleErr     = thRWV - z_c2p_RWV;
figure;
subplot(411);
plot(thNorm, x_c2p_RWV);
axis([-1 1 0.25 0.5]);
title('CORDIC Magnitude (X) Values');
subplot(412);
plot(thNorm, magnitudeErr);
title('Error between Magnitude Reference Values and X Values');
subplot(413);
plot(thNorm, z_c2p_RWV);
title('CORDIC Angle (Z) Values');
subplot(414);
plot(thNorm, angleErr);
title('Error between Angle Reference Values and Z Values');

Ссылки

  1. Jack E. Volder, The CORDIC Trigonometric Computing Technique, IRE Transactions on Electronic Computers, Volume EC-8, September 1959, pp330-334.

  2. Рэй Андрака, Обзор алгоритма CORDIC для компьютеров на основе FPGA, Труды 1998 ACM/SIGDA шестой международный симпозиум по программируемым массивам ворот для поля, 22-24, 1998 февраля, pp191-200

Для просмотра документации необходимо авторизоваться на сайте