Проверяйте сущность и архитектуру

Проверяйте идентификатор: com.mathworks.HDL.ModelChecker.runSplitEntityArchitectureChecks

Проверьте, описаны ли сущность и архитектура VHDL в одном файле.

Описание

Эта проверка обнаруживает, когда вы имеете описание сущности и архитектуры в отдельных файлах, когда вы генерируете код с VHDL в качестве целевого языка. Описание сущности и архитектуры может быть в отдельных файлах, если вы включите SplitEntityArch настройка. Эта проверка соответствует 1.A.F.4 правил отраслевого стандарта.

Результаты и рекомендуемые действия

Чтобы исправить это предупреждение, нажмите Modify Settings, и генератор кода отключает SplitEntityArch установка так, чтобы описание сущности и архитектуры находилось в одном файле.

См. также

Правило 1.A.F.4 основных практик кодирования.

Для просмотра документации необходимо авторизоваться на сайте