Сконфигурируйте синтезирующий проект с помощью Tcl скрипта

Можно добавить скрипт Tcl, который конфигурирует ваш проект синтеза.

Чтобы сконфигурировать свой проект синтеза с помощью скрипта Tcl:

  1. Создайте скрипт Tcl, который содержит команды для настройки проекта синтеза.

    Для примера, чтобы задать конечный стиль конечного автомата:

    • Для Xilinx® ISE, создайте скрипт Tcl, который содержит следующую линию:

      project set "FSM Encoding Algorithm" "Gray" -process "Synthesize - XST" 

    • Для Xilinx Vivado®, создайте скрипт Tcl, который содержит следующую линию:

      set_property STEPS.SYNTH_DESIGN.ARGS.FSM_EXTRACTION gray [get_runs synth_1]

  2. В HDL Workflow Advisor, в задаче FPGA Synthesis and Analysis > Create Project, в поле Additional source files, введите полный путь к файл вручную или при помощи кнопки Add.

Когда HDL- Coder™ создает проект, скрипт Tcl выполняется, чтобы применить настройки проекта синтеза.

Для просмотра документации необходимо авторизоваться на сайте