Сгенерируйте контрольную сумму CRC-8 в Simulink

Сгенерируйте контрольную сумму CRC-8 для примера, показанного в 802.11™-2016, разделите 21.3.10.3 и сравните с ожидаемым CRC.

Исследуйте модель

Выровняться с вычислением CRC в 802.11-2016, комплекты масок блока General CRC Generator порождающий полином к$z^8 + z^2 + z + 1$, начальные состояния к 1, итоговый параметр XOR к 1, и выбирает прямой метод.

Моделирование конфигурировано, чтобы обработать один входной кадр, моделируя пример из 802.11-2016 стандартов в разделе 21.3.10.3. В примере, входной поток битов {m0, … m22} {1 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1} и ожидаемая контрольная сумма CRC {c7, … c0} {0 0 0 1 1 1 0 0}. Сгенерированные биты контрольной суммы CRC сравниваются с ожидаемыми битами и 1 выводится для каждого равного бита в контрольной сумме CRC на 8 битов.

comparedOut =

  8x1 logical array

   1
   1
   1
   1
   1
   1
   1
   1

Ссылки

[1] Станд. IEEE 802.11™-2016 стандарт IEEE для информационных технологий — локальных сетей и городских компьютерных сетей — часть 11 конкретных требований: беспроводная связь ЛЭН МАК и технические требования PHY.

Для просмотра документации необходимо авторизоваться на сайте