Обнаружьте нарушения системных руководств по моделированию Высокой Целостности

Simulink® Анализ поиска ошибок проектирования Design Verifier™ обнаруживает нарушения следующих Системных Руководств по моделированию Высокой Целостности:

  • Использование rem и взаимные операции - hisl_0002

  • Использование операций квадратного корня - hisl_0003

  • Использование журнала и log10 операций - hisl_0004

  • Использование Взаимных блоков Квадратного корня - hisl_0028

Использование rem и взаимные операции - hisl_0002

Задайте, проверять ли использование rem и reciprocal операции, которые вызывают неличные результаты.

Это соответствует проверке hisl_0002 на Системное Моделирование Высокой Целостности. Для получения дополнительной информации см. hisl_0002: Использование блоков Математической функции (rem и обратная величина).

Использование операций квадратного корня - hisl_0003

Задайте, проверять ли использование операций Square Root с входными параметрами, которые могут быть отрицательными.

Это соответствует проверке hisl_0003 на Системное Моделирование Высокой Целостности. Для получения дополнительной информации см. hisl_0003: Использование блоков Квадратного корня.

Использование журнала и log10 операций - hisl_0004

Задайте, проверять ли использование log и log10 операции, которые вызывают неличные результаты.

Это соответствует проверке hisl_0004 на Системное Моделирование Высокой Целостности. Для получения дополнительной информации см. hisl_0004: Использование блоков Математической функции (натуральный логарифм и основа 10 логарифмов).

Использование Взаимных блоков Квадратного корня - hisl_0028

Задайте, проверять ли использование Обратной величины блоки Square Root с входными параметрами, которые могут пойти нуль или отрицательный.

Это соответствует проверке hisl_0028 на Высокое Системное Моделирование Целостности. Для получения дополнительной информации см. hisl_0028: Использование Взаимных блоков Квадратного корня.

Обнаружьте нарушения системных руководств по моделированию Высокой Целостности

В этом примере показано, как обнаружить нарушения Системных Руководств по моделированию Высокой Целостности.

1. Откройте модель

Эта модель в качестве примера объясняет об использовании остатка и взаимных операциях, операциях квадратного корня, журнале и log10 операциях и Взаимных блоках Квадратного корня.

open_system('sldvexHislChecks');

2. Выполните анализ поиска ошибок проектирования

Модель предварительно сконфигурирована с Системами Высокой Целостности, Моделируя проверки, Использование остатка и взаимные операции - hisl_0002, Использование операций-hisl_0003 квадратного корня, Использование журнала и log10 операций-hisl_0004, и Использование Взаимных блоков-hisl_0028 Квадратного корня. Для получения дополнительной информации смотрите Панель Верификатора Проекта: Поиск ошибок проектирования.

Чтобы выполнить анализ поиска ошибок проектирования, на вкладке Design Verifier, в разделе Mode, выбирают Design Error Detection. Затем нажмите Detect Design Errors.

Программное обеспечение анализирует модель для нарушений Системных Руководств по моделированию Высокой Целостности и отображает результаты в окне Results Summary. Результаты показывают, что 15 из 29 целей сфальсифицированы.

3. Рассмотрите результаты анализа

Нажмите результаты анализа Highlight на модели. Блоки, которые приводят к нарушениям Системных Руководств по моделированию Высокой Целостности, подсвечены в красном.

a. Нажмите Rem и блоки Reciprocal, подсвеченные в красном. Инспектор Результата отображает сводные данные нарушения hisl_0002 инструкции.

b. Кликните по блоку Sqrt, подсвеченному в красном. Инспектор Результата отображает сводные данные нарушения hisl_0003 инструкции.

c. Нажмите Log и блоки Log10, подсвеченные в красном. Инспектор Результата отображает сводные данные нарушения hisl_0004 инструкции.

d. Кликните по блоку Reciprocal Square Root, подсвеченному в красном. Инспектор Результата отображает сводные данные нарушения hisl_0028 инструкции.

e. Кликните по блоку MATLAB function, подсвеченному в красном. Инспектор Результата отображает сводные данные hisl_0002, hisl_0003, и проверки hisl_0004.

4. Просмотрите отчет детального анализа

Чтобы просмотреть отчет детального анализа, в окне Results Summary, нажимают HTML. Отчет отображает сводные данные всех случаев Систем Высокой Целостности, Моделируя нарушения в модели.

5. Вымыться

Чтобы завершить этот пример, закройте модель.

close_system('sldvexHislChecks', 0);

Похожие темы

Для просмотра документации необходимо авторизоваться на сайте