Спроектируйте и оцените простую модель PLL

В этом примере показано, как спроектировать простую фазовую подстройку частоты (PLL) с помощью эталонной архитектуры и подтвердить его с помощью Испытательного стенда PLL.

PLL является системой синтезатора частоты, которая производит выходной сигнал, фаза которого зависит от фазы своего входного сигнала. В самой простой форме PLL состоит из детектора фазы/частоты (PFD), насоса заряда, контурного фильтра, управляемого напряжением генератора (VCO) и делителя часов в обратной связи. PFD и насос заряда вместе производят сигнал ошибки, пропорциональный разности фаз его двух входных сигналов. Контурный фильтр удаляет более высокие частотные составляющие сигнала ошибки, который управляет VCO. Выход VCO питается через делитель часов вход PFD, производя цикл отрицательной обратной связи.

Mixed-Signal Blockset™ обеспечивает эталонные архитектуры, чтобы спроектировать простую модель PLL и испытательные стенды, чтобы проверить, что спроектированная модель выполняет техническим требованиям проекта.

Настройте модель испытательного стенда PLL

Откройте модель simplePLL присоединенный к этому примеру как вспомогательный файл. Модель состоит из Целого числа N PLL с блоком Single Modulus Prescaler и блоком PLL Testbench.

open_system('simplePLL.slx')

Технические требования PLL и ухудшение

Используйте таблицу данных Skyworks SKY73134-11, чтобы спроектировать систему PLL, чтобы заблокировать на уровне 2,8 ГГц.

Дважды кликните Целое число N PLL с блоком Single Modulus Prescaler, чтобы открыть диалоговое окно Block Parameters и проверить эти настройки: * Проверяйте, что ухудшения отключены в PFD и вкладках насоса Заряда. * Во вкладке насоса Заряда текущий Выход установлен в 2.7 мА. Компенсация Мертвой зоны и Входные пороговые параметры сохранены в значениях по умолчанию.

  • Во вкладке VCO Чувствительность VCO установлена в 20 МГц/ПРОТИВ частота Свободного хода немного ниже, чем целевая частота блокировки и установлена в 2.78 GHz. Смещение частоты шума Фазы установлено в [100e3 1e6 3e6 10e6] Гц и уровень шума Фазы (дБн/Гц) установлены в [−108 −134 −145 −154] дБн/Гц.

  • Рассмотрением ссылочной входной частоты к PLL является 1.6 МГц, значение делителя Часов и значение делителя часов Min во вкладке Prescaler установлены в$$\frac{2\ldotp 8\textrm{e9}}{1\ldotp 6\textrm{e6}}=1750$.

  • Во вкладке Loop Filter Полоса пропускания контура установлена в 160 kHz, 1/10-й из ссылочной входной частоты. Запас по фазе сохранен в значении по умолчанию 45 градусами. Значения фильтра компонента вычисляются автоматически.

  • Во вкладке Analysis выбраны и Графики для анализа Анализа и Замкнутого цикла Разомкнутого контура.

Постройте динамику цикла PLL перед симуляцией

Нажмите кнопку Plot Loop Dynamics, чтобы просмотреть результаты перед симуляцией и aseess устойчивость системы.

Анализ замкнутого цикла состоит из Нулевой полюсом Карты, Ответа Величины, Переходного процесса и Импульсной характеристики. Полосой пропускания на 3 дБ системы является 288.51 kHz. Система устойчива.

Анализ разомкнутого контура состоит из Диаграмм Боде системы PLL. Запасом по фазе является 44.1 степенями и частотой усиления единицы является 159.9 kHz.

Измените испытательный стенд PLL для измерения шума фазы

Дважды кликните Испытательный стенд PLL, чтобы открыть диалоговое окно Block Parameters и проверить эти настройки: * Во вкладке Stimulus входной сигнал к PLL задан как прямоугольная волна 1.6 МГц.

  • Во вкладке Setup проверяйте, что опция измерения шума Фазы выбрана. Частота операции и опций измерения времени Блокировки является невыбранной. Установите полосу пропускания Разрешения на 50 kHz, номер спектральных средних значений к 4 и Удержите время к 1.5e-5 s.

  • Во вкладке Target Metrics, набор шум Фазы (дБн/Гц) к [−108 −134 −145 −154], то же самое как профиль шума фазы PLL.

Постройте профиль шума фазы PLL

Запустите симуляцию для 1.35e-4 s. Результаты симуляции отображены на значке Испытательного стенда PLL. Измеренный уровень шума фазы при определенных смещениях частоты сопоставим со своими целевыми значениями.

Дважды кликните блок PLL Testbench, чтобы открыть диалоговое окно Block Parameters. Нажмите кнопку профиля шума фазы Plot. PLL рабочая частота является 2.8 GHz и измеренный профиль шума фазы совпадают с целевым профилем.

Ссылка

1. Skyworks SKY73134-11

Смотрите также

|

Похожие темы

Для просмотра документации необходимо авторизоваться на сайте