Деление частоты Используя один делитель частоты модуля

Откройте модель singleModulusPrescaler. Модель состоит из Импульсного Генератора и блока Single Modulus Prescaler.

open_system('singleModulusPrescaler.slx')

Периодом входящего импульса в clk в порте является 4e-7 s. Так, входящий сигнал имеет частоту 2.5 МГц. Отделение - значением установлено в 2.

Запустите симуляцию для 2e-6 s. Частотой выходного сигнала является 1.25 МГц.

Для просмотра документации необходимо авторизоваться на сайте