Edge Detector

Обнаружьте изменение в логическом состоянии сигнала

Библиотека

Simscape / Электрический / Специализированные Энергосистемы / Управление

  • Edge Detector block

Описание

Блок Edge Detector выводит импульс иглы, когда изменение в логическом входе обнаруживается.

Блок Edge Detector может быть запрограммирован, чтобы обнаружить возрастающее ребро (когда вход идет от лжи до истины), падающее ребро (когда вход идет от истинного до лжи), или любое ребро (любое изменение во входе обнаруживается).

Параметры

Edge detection

Выберите критерии обнаружения, инициировавшие выходной импульс. Выбором является Rising (значение по умолчанию), Falling, или Either.

Initial condition of previous input

Задайте начальное значение предыдущего входа. Блок Edge Detector может обнаружить ребро в t = 0, если вход отличается от заданного значения. Значением по умолчанию является 0.

Sample time (-1 for inherited)

Задайте шаг расчета блока в секундах. Установите на 0 реализовывать непрерывный блок. Значением по умолчанию является 0.

Вводы и выводы

Входной сигнал должен быть булевым сигналом. Выход является Boolean.

Характеристики

Прямое сквозное соединениеДа
Размер шагаЗаданный в параметре Sample Time
Скалярное расширениеДа
Определенный размерностьДа
Обнаружение пересечения нулемДа

Примеры

power_Logic пример показывает операцию блока Edge Detector.

Шаг расчета модели параметрируется с переменной Ts (значение по умолчанию Ts = 50e-3). Чтобы симулировать непрерывный блок Edge Detector, задайте Ts = 0 в MATLAB® Командное окно.

Введенный в R2013a
Для просмотра документации необходимо авторизоваться на сайте