Интеграция со сторонними инструментами EDA

Сгенерируйте скрипт по умолчанию

Кодер генерирует скрипты как часть кода и процесса генерации испытательного стенда. Файлы скрипта сгенерированы в целевой папке.

Когда HDL-код сгенерирован для фильтра, filt, кодер записывает следующие файлы скрипта:

  • filt _compile.do: Наставник скрипт компиляции Graphics® ModelSim®. Этот скрипт содержит команды, чтобы скомпилировать сгенерированный код фильтра, но не моделировать его.

Когда код испытательного стенда сгенерирован для фильтра filt, кодер записывает следующие файлы скрипта:

  • filt _tb_compile.do: скрипт компиляции Mentor Graphics ModelSim. Этот скрипт содержит команды, чтобы скомпилировать сгенерированный фильтр и код испытательного стенда.

  • filt _tb_sim.do: скрипт симуляции Mentor Graphics ModelSim. Этот скрипт содержит команды, чтобы запустить симуляцию сгенерированного фильтра и кода испытательного стенда.

Можно включить или отключить генерацию скриптов и настроить имена и содержимое сгенерированных файлов скрипта:

Структура сгенерированных файлов скрипта

Сгенерированный скрипт EDA состоит из трех разделов, которые сгенерированы и выполнены в следующем порядке:

  1. Фаза (Init) инициализации. Фаза Init выполняет требуемые действия настройки, такие как создание библиотеки проекта или файла проекта.

  2. Фаза команды на файл (Cmd). Эта фаза скрипта называется итеративно, однажды на сгенерированный файл HDL.

  3. Фаза завершения (Term). Эта фаза является итоговой фазой выполнения скрипта. Одно приложение этой фазы должно выполнить симуляцию HDL-кода, который был скомпилирован в фазе Cmd.

Кодер генерирует скрипты передающими векторами символов формата к функции fprintf. Используя опции пользовательского интерфейса (или свойства generatehdl) полученный в итоге в следующих разделах, можно передать в векторах символов настраиваемого формата генератору скрипта. Некоторые из этих векторов символов формата берут аргументы, такие как сущность верхнего уровня или имя модуля.

Можно использовать допустимые символы форматирования fprintf. Например, '\n' вставляет новую строку в файл скрипта.

Настройте скрипты для компиляции и симуляции

Просмотреть и установить опции в диалоговом окне EDA Tool Scripts:

  1. Откройте диалоговое окно Generate HDL.

  2. Кликните по вкладке EDA Tool Scripts.

    Группа опций Compilation script выбрана, как показано.

  3. Опция Generate EDA scripts управляет генерацией файлов скрипта. По умолчанию эта опция выбрана, как показано в предыдущем изображении.

    Если вы хотите отключить генерацию скриптов, снимите этот флажок.

  4. Список слева от диалогового окна позволяет вам выбрать из нескольких категорий. Выберите категорию и установите опции, как желаемый. Категории:

  5. Пользовательские векторы символов для каждого раздела передаются fprintf, чтобы записать каждый раздел выбранного скрипта. Можно использовать векторы символов формата, поддержанные функцией fprintf. Некоторые векторы символов включают неявные аргументы.

    ОпцияНеявные аргументы
    Compile initializationИмя библиотеки
    Compile command for VHDL и Compile command for Verilog
    • Содержимое опции Simulator flags (пустой символьный вектор, '', по умолчанию)

    • Имя файла текущего модуля

    Compile terminationНикакой неявный аргумент
    Compile initializationНикакой неявный аргумент
    Simulation command
    • Имя библиотеки

    • Модуль верхнего уровня или имя сущности

    Simulation terminationНикакой неявный аргумент

Опции скрипта компиляции

Данные показывают панель Compilation script с набором опций к их значениям по умолчанию.

Кодер генерирует скрипт под названием firfilt_copy_compile.do:

vlib work
vcom  firfilt_copy.vhd
Если вы генерируете испытательный стенд для своего фильтра, кодер также генерирует скрипт под названием firfilt_copy_tb_compile.do
vlib work
vcom  firfilt_copy.vhd
vcom  firfilt_copy_tb.vhd

Установка Флагов Средства моделирования для Скриптов Компиляции.  У вас есть опция вставки флагов средства моделирования в ваши сгенерированные скрипты компиляции. Эта опция включена в скрипты компиляции и для автономного фильтра и для испытательного стенда. Например, можно задать версию компилятора. Задавать флаги:

  1. Нажмите Test Bench в диалоговом окне Generate HDL.

  2. Введите флаги интереса к полю Simulator flags. В фигуре диалоговое окно указывает, что средство моделирования Mentor Graphics ModelSim использует параметр компилятора -93 для компиляции.

Альтернатива командной строки: Задайте флаги средства моделирования со свойством SimulatorFlags функции generatehdl.

Опции скрипта симуляции

Кодер генерирует скрипт симуляции, когда вы генерируете испытательный стенд. Данные показывают панель Simulation script с набором опций к их значениям по умолчанию.

Кодер генерирует скрипт под названием firfilt_copy_tb_sim.do:

onbreak resume
onerror resume
vsim -novopt work.firfilt_copy_tb
add wave sim:/firfilt_copy_tb/u_firfilt_copy/clk
add wave sim:/firfilt_copy_tb/u_firfilt_copy/clk_enable
add wave sim:/firfilt_copy_tb/u_firfilt_copy/reset
add wave sim:/firfilt_copy_tb/u_firfilt_copy/filter_in
add wave sim:/firfilt_copy_tb/u_firfilt_copy/filter_out
add wave sim:/firfilt_copy_tb/filter_out_ref
run -all

Опции скрипта синтеза

Для получения информации об опциях скрипта синтеза см. Скрипты Автоматизации для Сторонних Инструментов Синтеза.

Для просмотра документации необходимо авторизоваться на сайте