nclaunch

Запустите и сконфигурируйте Тактовый сигнал Острые средства моделирования для использования с программным обеспечением HDL Verifier

Синтаксис

nclaunch('PropertyName','PropertyValue'...)

Описание

nclaunch('PropertyName','PropertyValue'...) запускает средство моделирования Cadence Incisive® для использования с MATLAB® и функциями Simulink® программного обеспечения HDL Verifier™. Первая папка в Тактовом сигнале, Острое средство моделирования совпадает с вашей текущей папкой MATLAB, если вы не задаете явный rundir параметр.

После того, как вы вызовете эту функцию, можно использовать функции HDL Verifier в симуляторе HDL (например, hdlsimmatlab, hdlsimulink) сделать интерактивную настройку отладки.

Имя свойства / настройки пары значения свойства позволяет, вы, чтобы настроить команды Tcl раньше запускали Тактовый сигнал Острое средство моделирования, ncsim исполняемый файл, который будет использоваться, путь и имя скрипта Tcl, который хранит команды запуска, и для приложений Simulink, деталей о режиме коммуникации, которая будет использоваться приложениями. Необходимо использовать имя свойства / пара значения свойства с nclaunch.

Аргументы в виде пар имя-значение

hdlsimdir

Задает путь к Тактовому сигналу Острый исполняемый файл средства моделирования, который будет запущен.

  • pathname

    Запустите различную версию Тактового сигнала Острое средство моделирования или если версия средства моделирования, которое вы хотите запустить, не находится на системном пути.

Значение по умолчанию: первая версия средства моделирования, которое функция находит на системном пути.

hdlsimexe

Задает имя Тактового сигнала Острый исполняемый файл средства моделирования.

  • simexename

    Сделанный на заказ исполняемый файл средства моделирования.

Значение по умолчанию: ncsim

libdir

Это свойство создает запись в запуске файл Tcl, который указывает на папку с разделяемыми библиотеками для Тактового сигнала Острое средство моделирования, чтобы связаться с MATLAB, когда Тактовый сигнал, Острое средство моделирования работает на машине, которая не имеет MATLAB.

  • folder

    Папка, содержащая MATLAB, совместно использовала библиотеки.

libfile

Задает файл библиотеки, чтобы использовать в симуляции HDL. Если симулятор HDL соединяет другие библиотеки, включая библиотеки SystemC, которые были созданы с помощью компилятора, предоставленного симулятором HDL, можно задать альтернативный файл библиотеки с этим свойством. См. Библиотеки Cosimulation для версий библиотеки, созданной с помощью других компиляторов.

  • library_file_name

    Конкретный файл библиотеки, чтобы использовать в симуляции HDL.

Значение по умолчанию: версия файла библиотеки, который был создан с помощью того же компилятора, который использует сам MATLAB.

rundir

Задает папку, содержащую исполняемый файл симулятора HDL.

  • dirname

    Куда запустить симулятор HDL.

Следующие условия применяются к этой паре имя/значение:

  • Если значение dirname “TEMPDIR”, функция создает временную папку, в которой это запускает симулятор HDL.

  • Если вы задаете dirname и папка не существует, вы получите ошибку.

Значение по умолчанию: текущая рабочая папка

runmode

Задает, как запустить симулятор HDL.

  • mode

    Это свойство принимает следующие допустимые значения:

    • 'Batch': Запустите симулятор HDL в фоновом режиме без окна.

    • 'Batch with Xterm': Запустите симулятор HDL в неинтерактивном окне Xterm.

    • 'CLI': Запустите симулятор HDL в окне интерактивного терминала.

    • 'GUI': Запустите симулятор HDL с графического интерфейса пользователя.

Значение по умолчанию: 'GUI'

Задает связь сокета TCP/IP между Тактовым сигналом Острое средство моделирования и Simulink. Для общей памяти не используйте -socket <tcp-spec> на командной строке.

  • tcp_spec

    Номер порта TCP/IP или сервисное имя (псевдоним)

Значение по умолчанию: Общая память

starthdlsim

Определяет, запускается ли Тактовый сигнал Острое средство моделирования.

Эта функция создает запуск файл Tcl, который содержит указатели на MATLAB, и Simulink совместно использовал библиотеки. Чтобы запустить Тактовый сигнал Острое средство моделирования вручную, смотрите, Запускают симулятор HDL с MATLAB.

  • yes

    Запускает Тактовый сигнал Острое средство моделирования и создает запуск файл Tcl.

  • no

    Не запускает Тактовый сигнал Острое средство моделирования, но все еще создает запуск файл Tcl.

Значение по умолчанию: yes

startupfile

Задайте название и местоположение скрипта Tcl, сгенерированного nclaunch. Сгенерированный скрипт Tcl, когда выполняется, компилирует и запускает симулятор HDL. Можно отредактировать и использовать сгенерированный файл в обычном интерпретаторе за пределами MATLAB. Например:

sh> tclsh compile_and_launch.tcl
  • pathname

    Имя файла и путь для сгенерированного скрипта Tcl. Если имя файла уже существует на заданном пути, что содержимое файла перезаписывается.

Значение по умолчанию: Генерирует имя файла compile_and_launch.tcl в папке, заданной rundir.

tclstart

Задает одну или несколько команд Tcl, чтобы выполниться перед Тактовым сигналом Острые запуски средства моделирования. Необходимо задать по крайней мере одну команду; в противном случае никакое действие не происходит.

  • tcl_commands

    Вектор управляющего символа или массив ячеек команд.

    Примечание

    Необходимо ввести exec перед non-Tcl системой окружают команды. Например:

    exec -ncverilog -64bit -c +access+rw +linedebug top.v
    hdlsimulink -gui work.top

Примеры

свернуть все

Скомпилируйте проект и запустите Simulink.

nclaunch('tclstart',{'exec ncverilog -64bit -c +access+rw +linedebug top.v','hdlsimulink...
     -gui work.top'},'socketsimulink','4449','rundir','/proj');

В этом примере, nclaunch выполняет следующее:

  • Компилирует проект top.v: exec ncverilog -64bit -c +access+rw +linedebug top.v.

  • Запускает Simulink с графический интерфейса пользователя от proj папка с загруженной моделью: hdlsimulink -gui work.top и 'rundir', '/proj'.

  • Дает Simulink команду связываться с интерфейсом HDL Verifier на порте сокета 4449: 'socketsimulink','4449'.

Все эти команды заданы в односимвольном векторе как значение свойства к tclstart.

Создайте скрипт Tcl, чтобы запустить симулятор HDL с интерпретатора Tcl с помощью nclaunch.

Задайте имя скрипта Tcl и команды (команд), которую это включает как параметры к nclaunch:

nclaunch('tclstart','xxx','startupfile','mytclscript','starthdlsim','yes') 

В этом примере создается скрипт Tcl, и команда, чтобы запустить симулятор HDL включена. Запуск файл Tcl называют "mytclscript".

Выполните скрипт в интерпретаторе Tcl:

shell> tclsh mytclscript

Это запускает симулятор HDL.

Создайте последовательность из команд Tcl, которые затем выполняются в интерпретаторе Tcl после вызова nclaunch из MATLAB.

Присвойте значения команды Tcl tclcmd параметр nclaunch:

tclcmd{1} = 'exec ncvlog -64bit vlogtestbench_top.v'
tclcmd{2} = 'exec ncelab -64bit -access +wc vlogtestbench_top'
tclcmd{3} = ['hdlsimmatlab -gui vlogtestbench_top ' '-input "{@matlabcp... 
     vlogtestbench_top.u_matlab_component -mfunc vlogmatlabc...
     -socket 32864}" ' '-input "{@run 50}"']
tclcmd = 

    'exec ncvlog -64bit vlogtestbench_top.v'    'exec ncelab -64bit -access +wc vlogtestbench_top'


tclcmd = 

    'exec ncvlog -64bit vlogtestbench_top.v'    'exec ncelab -64bit -access +wc vlogtestbench_top'


tclcmd = 

    [1x31 char]    [1x41 char]    [1x145 char]
  • tclcmd{1} компиляции vlogtestbench_top.

  • tclcmd{2} разрабатывает модель.

  • tclcmd{3} вызовы hdlsimmatlab в gui режим и загрузки разработанный vlogtestbench_top в средстве моделирования.

Выпустите nclaunch команда, передавая tclcmd переменная только установила:

nclaunch('hdlsimdir','local.IUS.glnx.tools.bin','tclstart',tclcmd);

В этом примере, nclaunch запускает следующие задачи посредством команд Tcl, присвоенных в tclcmd:

  • Выполняет аргументы, передаваемые с -input (matlabtb и run) в ncsim Интерпретатор Tcl.

  • Издает приказ к matlabcp, который сопоставляет функциональный vlogmatlabc к экземпляру модуля u_matlab_component.

  • Принимает что hdldaemon в MATLAB слушает на порте 32864

  • Сообщает run функционируйте, чтобы запустить 50 модулей разрешения (метки деления).

Введенный в R2008a

Для просмотра документации необходимо авторизоваться на сайте