HDLSynthTool

Выберите инструмент синтеза, для которого HDL Coder генерирует скрипты

Настройки

'Synthesis tool'

Значение по умолчанию: 'None'.

Задайте инструмент синтеза как вектор символов. HDLSynthTool включает или отключает генерацию скриптов для сторонних инструментов синтеза. По умолчанию HDL Coder™ не генерирует скрипт синтеза. Чтобы сгенерировать скрипт для одного из поддерживаемых инструментов синтеза, установите HDLSynthTool к одному из следующего:

Совет

Значение HDLSynthTool также устанавливает постфикс (HDLSynthFilePostfix) то, что кодер добавляет к сгенерированным именам файлов скрипта синтеза.

Выбор значения HDLSynthTool...Генерирует скрипт для...Наборы HDLSynthFilePostfix к...

'None'

Нет данных; генерация скриптов отключена

Нет данных

'ISE'

Xilinx® ISE

'_ise.tcl'

'Libero'

Микрополу либеро

'_libero.tcl'

'Precision'

Наставник Graphics® Precision

'_precision.tcl'

'Quartus'

Altera® Quartus II

'_quartus.tcl'

'Synplify'

Synopsys® Synplify Pro®

'_synplify.tcl'

'Vivado'

Xilinx Vivado®

'_vivado.tcl'

'Custom'

Пользовательский инструмент синтеза

'_custom.tcl'

Установите или просмотрите это свойство

Чтобы установить это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Для просмотра документации необходимо авторизоваться на сайте