Сконфигурируйте компиляцию, симуляцию, синтез и скрипты линта

Вы устанавливаете опции, которые конфигурируют генерацию файла скрипта на панели EDA Tool Scripts. Эти опции соответствуют свойствам, описанным в Свойствах для Управления Генерацией скриптов.

Просмотреть и установить опции EDA Tool Scripts:

  1. Откройте диалоговое окно Configuration Parameters.

  2. Выберите HDL Code Generation> панель EDA Tool Scripts.

  3. Опция Generate EDA scripts управляет генерацией файлов скрипта. По умолчанию эта опция выбрана.

    Если вы хотите отключить генерацию скриптов, снять этот флажок и нажать Apply.

  4. Список слева от панели EDA Tool Scripts позволяет вам выбрать из нескольких категорий опций. Выберите категорию и установите опции, как желаемый. Категории:

    • Compilation script: Опции, связанные с настройкой скриптов для компиляции сгенерированного VHDL® или кода Verilog®. См. Опции Скрипта Компиляции для получения дополнительной информации.

    • Simulation script: Опции, связанные с настройкой скриптов для симуляторов HDL. См. Опции Скрипта Симуляции для получения дополнительной информации.

    • Synthesis script: Опции, связанные с настройкой скриптов для инструментов синтеза. См. Опции Скрипта Синтеза для получения дополнительной информации.

Опции скрипта компиляции

Следующий рисунок показывает панель Compilation script с набором опций к их значениям по умолчанию.

Следующая таблица обобщает опции Compilation script.

Опция и значение по умолчаниюОписание

Compile file postfix'

'_compile.do'

Постфикс, добавленный к имени DUT или испытательному стенду, называет, чтобы сформировать имя файла скрипта.

Имя: Compile initialization

Default:'vlib %s\n'

Имя формата передало fprintf записать Init раздел скрипта компиляции. Аргумент является содержимым VHDLLibraryName свойство, который значения по умолчанию to'work'. Можно заменить Init по умолчанию 'vlib work\n' путем изменения значения VHDLLibraryName.

Имя: Compile command for VHDL

Значение по умолчанию: 'vcom %s %s\n'

Имя формата передало fprintf записать Cmd раздел скрипта компиляции для файлов VHDL. Эти два аргумента являются содержимым SimulatorFlags опция свойства и имя файла текущей сущности или модуля. Чтобы не использовать флаги, установите SimulatorFlags к '' (значение по умолчанию).

Имя: Compile command for Verilog

Значение по умолчанию: 'vlog %s %s\n'

Имя формата передало fprintf записать Cmd раздел скрипта компиляции для файлов Verilog. Эти два аргумента являются содержимым SimulatorFlags свойство и имя файла текущей сущности или модуля. Чтобы не использовать флаги, установите SimulatorFlags к '' (значение по умолчанию).

Имя: Compile termination

Default:''

Имя формата передало fprintf записать фрагмент завершения скрипта компиляции.

Опции скрипта симуляции

Следующий рисунок показывает панель Simulation script с набором опций к их значениям по умолчанию.

Следующая таблица обобщает опции Simulation script.

Опция и значение по умолчаниюОписание

Simulation file postfix

'_sim.do'

Постфикс, добавленный к имени модели или испытательному стенду, называет, чтобы сформировать имя файла скрипта симуляции.

Simulation initialization

Значение по умолчанию:

 ['onbreak resume\nonerror resume\n'] 

Имя формата передало fprintf записать раздел инициализации скрипта симуляции.

Simulation command

Значение по умолчанию: 'vsim -novopt %s.%s\n'

Имя формата передало fprintf записать команду симуляции.

Если ваш TargetLanguage 'VHDL', первый неявный аргумент является значением VHDLLibraryName. Если ваш TargetLanguage 'Verilog', первым неявным аргументом является 'work'.

Второй неявный аргумент является модулем верхнего уровня или именем сущности.

Simulation waveform viewing command

Значение по умолчанию: 'add wave sim:%s\n'

Имя формата передало fprintf записать команду просмотра формы волны скрипта симуляции. Модуль верхнего уровня или имена сигнала сущности являются неявными аргументами.

Simulation termination

Значение по умолчанию: 'run -all\n'

Имя формата передало fprintf записать Term фрагмент скрипта симуляции.

Опции скрипта синтеза

Следующий рисунок показывает панель Synthesis script с набором опций к их значениям по умолчанию. Значения по умолчанию свойства Choose synthesis tool к None, который отключает генерацию скрипта синтеза.

Чтобы включить генерацию скриптов синтеза, выберите инструмент синтеза из меню Choose synthesis tool.

Когда вы выбираете инструмент синтеза, HDL Coder™:

  • Включает генерацию скриптов синтеза.

  • Вводит постфикс имени файла (характерный для выбранного инструмента синтеза) в поле Synthesis file postfix.

  • Вводит строки (характерный для выбранного инструмента синтеза) в инициализацию, команду и поля завершения.

Следующий рисунок показывает, что значения опции по умолчанию ввели для инструмента Mentor Graphics® Precision.

Следующая таблица обобщает опции Synthesis script.

Имя опцииОписание

Choose synthesis tool

None (значение по умолчанию): не генерируйте скрипт синтеза
Xilinx ISE: сгенерируйте скрипт синтеза для Xilinx® ISE
Microsemi Libero: сгенерируйте скрипт синтеза для Микрополу Либеро
Mentor Graphics Precision: сгенерируйте скрипт синтеза для Точности Mentor Graphics
Altera Quartus II: сгенерируйте скрипт синтеза для Altera® Quartus II
Synopsys Synplify Pro: сгенерируйте скрипт синтеза для Synopsys® Synplify Pro®
Xilinx Vivado: сгенерируйте скрипт синтеза для Xilinx Vivado®
Custom: сгенерируйте пользовательский скрипт синтеза

Synthesis file postfix

Ваш выбор комплектов инструментальных средств синтеза постфикс для сгенерированных имен файлов синтеза к одному из следующего:

_ise.tcl
_libero.tcl
_precision.tcl
_quartus.tcl
_synplify.tcl
_vivado.tcl
_custom.tcl

Synthesis initialization

Имя формата передало fprintf записать Init раздел скрипта синтеза. Строка по умолчанию является командой создания проекта синтеза. Неявный аргумент является модулем верхнего уровня или именем сущности.

Содержимое строки характерно для выбранного инструмента синтеза.

Synthesis command

Имя формата передало fprintf записать Cmd раздел скрипта синтеза. Неявный аргумент является именем файла сущности или модуля.

Содержимое строки характерно для выбранного инструмента синтеза.

Synthesis termination

Имя формата передало fprintf записать Term раздел скрипта синтеза.

Содержимое строки характерно для выбранного инструмента синтеза.

Для просмотра документации необходимо авторизоваться на сайте