Специфичные для языка расширения файла

Расширение файла Verilog

Задайте расширение файла для сгенерированных файлов Verilog®.

Настройки

Значение по умолчанию: .v

Это поле задает расширение файла для сгенерированных файлов Verilog.

Зависимость

Чтобы включить эту опцию, установите выходной язык на Verilog. Можно задать выходной язык при помощи параметра Language в панели HDL Code Generation.

Информация о командной строке

Свойство: VerilogFileExtension
Ввод: символьный вектор
Значение по умолчанию: '.v'

Чтобы установить это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Например, можно задать это свойство, когда вы генерируете HDL-код для symmetric_fir подсистема в sfir_fixed модель с помощью любого из этих методов.

  • Передайте свойство в качестве аргумента к makehdl функция.

    makehdl('sfir_fixed/symmetric_fir', ... 
            'VerilogFileExtension','.v')
  • Когда вы используете hdlset_param, можно установить параметр на модели и затем сгенерировать HDL-код с помощью makehdl.

    hdlset_param('sfir_fixed','VerilogFileExtension','.v')
    makehdl('sfir_fixed/symmetric_fir')

Смотрите также

Расширение файла VHDL

Задайте расширение файла для сгенерированных файлов VHDL®.

Настройки

Значение по умолчанию: .vhd

Это поле задает расширение файла для сгенерированных файлов VHDL.

Зависимость

Чтобы включить эту опцию, установите выходной язык на VHDL. Можно задать выходной язык при помощи параметра Language в панели HDL Code Generation.

Информация о командной строке

Свойство: VHDLFileExtension
Ввод: символьный вектор
Значение по умолчанию: '.vhd'

Чтобы установить это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Например, можно задать это свойство, когда вы генерируете HDL-код для symmetric_fir подсистема в sfir_fixed модель с помощью любого из этих методов.

  • Передайте свойство в качестве аргумента к makehdl функция.

    makehdl('sfir_fixed/symmetric_fir', ... 
            'VHDLFileExtension','.vhd')
  • Когда вы используете hdlset_param, можно установить параметр на модели и затем сгенерировать HDL-код с помощью makehdl.

    hdlset_param('sfir_fixed','VHDLFileExtension','.vhd')
    makehdl('sfir_fixed/symmetric_fir')

Смотрите также

Для просмотра документации необходимо авторизоваться на сайте