Bit Reduce

AND, OR или битное сокращение XOR на всех битах входного сигнала к одному биту

Библиотека

HDL Coder / Логика и Битовые операции

  • Bit Reduce block

Описание

Блок Bit Reduce выполняет выбранную операцию битного сокращения (AND, OR или XOR) на всех битах входного сигнала, для одноразрядного результата.

Параметры

Reduction Mode

Задает операцию сокращения:

  • AND (значение по умолчанию): Выполните поразрядное сокращение AND входного сигнала.

  • OR: Выполните сокращение битового "ИЛИ" входного сигнала.

  • XOR: Выполните поразрядное сокращение XOR входного сигнала.

Порты

Блок имеет следующие порты:

Входной параметр
  • Поддерживаемые типы данных: фиксированная точка, целое число (подписанный или без знака), булевская переменная

  • Минимальная битная ширина: 2

  • Максимальная битная ширина: 128

Вывод

Поддерживаемый тип данных: ufix1

Расширенные возможности

Генерация кода C/C++
Генерация кода C и C++ с помощью Simulink® Coder™.

Смотрите также

Блоки

Введенный в R2014a
Для просмотра документации необходимо авторизоваться на сайте