step

Системный объект: visionhdl.GrayscaleOpening
Пакет: visionhdl

Сообщите об открытом пиксельном значении на основе окружения

Синтаксис

[pixelOut,ctrlOut] = step(open,pixelIn,ctrlIn)

Описание

Примечание

Запуск в R2016b, вместо того, чтобы использовать step метод, чтобы выполнить операцию, заданную Системой object™, можно вызвать объект с аргументами, как будто это была функция. Например, y = step(obj,x) и y = obj(x) выполните эквивалентные операции.

[pixelOut,ctrlOut] = step(open,pixelIn,ctrlIn) возвращает следующее пиксельное значение, pixelOut, следуя из морфологического открытия на окружении вокруг каждого значения интенсивности входного пикселя, pixelIn.

Этот объект использует пиксельный интерфейс потоковой передачи со структурой для сигналов управления кадром. Этот интерфейс включает объекту действовать независимо от размера изображения и формата, и соединиться с другими объектами Vision HDL Toolbox™. Объект принимает и возвращает скалярное пиксельное значение и управляющие сигналы как структура, содержащая пять сигналов. Управляющие сигналы указывают на валидность каждого пикселя и его местоположения в системе координат. Чтобы преобразовать пиксельную матрицу в пиксельный поток и управляющие сигналы, используйте visionhdl.FrameToPixels объект. Для полного описания интерфейса смотрите Пиксельный Интерфейс Потоковой передачи.

Примечание

Системный объект выполняет инициализацию в первый раз, когда вы вызываете step метод. Эта инициализация блокирует ненастраиваемые свойства и входные технические требования, такие как размерности, сложность и тип данных входных данных. Если вы изменяете ненастраиваемое свойство или входную спецификацию, объект выдает ошибку. Чтобы изменить ненастраиваемые свойства или входные параметры, сначала вызовите release метод, чтобы разблокировать объект.

Входные параметры

развернуть все

Задайте visionhdl.GrayscaleOpening Системный объект, который вы создали и сконфигурировали.

Один пиксель в виде скалярного значения.

Поддерживаемые типы данных:

  • uint8uint16uint32

  • fixdt(0,N,M)

  • double и single типы данных поддерживаются для симуляции, но не для генерации HDL-кода.

Управляющие сигналы, сопровождающие поток входного пикселя в виде pixelcontrol структура, содержащая пять logical сигналы типа данных. Сигналы описывают валидность пикселя и его местоположения в системе координат. Для получения дополнительной информации смотрите Пиксельную Структуру управления.

Типы данных: struct

Выходные аргументы

развернуть все

Один пиксель преобразовывается морфологической операцией, возвращенной как скалярное значение.

Тип данных совпадает с типом данных pixelIn.

Сопровождение управляющих сигналов вывело пиксельный поток, возвращенный как pixelcontrol структура, содержащая пять logical сигналы типа данных. Сигналы описывают валидность пикселя и его местоположения в системе координат. Для получения дополнительной информации смотрите Пиксельную Структуру управления.

Типы данных: struct

Введенный в R2016a
Для просмотра документации необходимо авторизоваться на сайте