Параметры аннотации RTL

Эта страница описывает параметры, которые находятся на вкладке HDL Code Generation > Global Settings > Coding Style диалогового окна Параметров конфигурации.

Использование `timescale Verilog директивы

Задайте использование компилятора `timescale директивы в сгенерированном Verilog® код.

Настройки

По умолчанию: On

На

Используйте `timescale компилятора директивы в сгенерированном коде Verilog.

Прочь

Подавить использование `timescale компилятора директивы в сгенерированном коде Verilog.

Совет

The `timescale директива предоставляет способ определения различных значений задержки для нескольких модулей в файле Verilog. Эта настройка не влияет на сгенерированный испытательный стенд.

Зависимость

Эта опция активируется, когда целевой язык (заданный опцией Language ) является Verilog.

Информация о командной строке

Свойство: UseVerilogTimescale
Тип: Вектор символов
Значение: 'on' | 'off'
По умолчанию: 'on'

Чтобы задать это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Верилоговые timescale спецификация

Укажите шкалу времени, которую вы хотите использовать в сгенерированном коде Verilog.

Настройки

По умолчанию: `timescale 1 ns/1 ns

HDL Coder™ применяет эту опцию к директиве timescale в сгенерированном коде Verilog. Вы можете настроить шкалу времени по умолчанию и задать допустимую, компилируемую директиву шкалы времени. Язык Verilog использует эту директиву, чтобы определить временные модули и точность для вычисления значений задержки.

По умолчанию и модули времени, и точность 1ns. Для примера, если вы настроили шкалу времени, чтобы `timescale 1 ns/1 ps, задержка модуля становится 1ns и значение точно соответствует ближайшему 1 ps.

Зависимость

Эта опция включена, когда:

  • Целевой язык (заданный опцией Language ) - Verilog.

  • Опция Use Verilog `timescale directives включена.

Информация о командной строке

Свойство: Timescale
Тип: Вектор символов
Значение: Вектор символов, являющийся допустимым значением шкалы времени
По умолчанию: `timescale 1 ns/1 ns

Встроенные VHDL- строения

Укажите, был ли сгенерирован VHDL® код включает встроенные строения.

Настройки

По умолчанию: On

На

Включите строения VHDL в файлы, создающие экземпляры компонента.

Прочь

Подавляйте генерацию строений и требуйте внешних строений, поставляемых пользователем. Используйте эту настройку, если вы создаете свои собственные файлы строения VHDL.

Совет

HDL- строений могут быть встроенными с остальной частью кода VHDL для сущности или внешними в отдельных исходных файлах VHDL. По умолчанию HDL Coder включает строения для модели в сгенерированных кодах VHDL. Если вы создаете свои собственные файлы строения VHDL, подавьте генерацию встроенных строений.

Зависимость

Эта опция активируется, когда целевой язык (заданный опцией Language ) является VHDL.

Информация о командной строке

Свойство: InlineConfigurations
Тип: Вектор символов
Значение: 'on' | 'off'
По умолчанию: 'on'

Чтобы задать это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Защитные нули конкатенатного типа

Задайте использование синтаксиса для конкатенированных нулей в сгенерированном коде VHDL.

Настройки

По умолчанию: On

На

Используйте синтаксис безопасности типов, '0' & '0', для конкатенированных нулей. Обычно этот синтаксис является предпочтительным.

Прочь

Используйте синтаксис "000000..."для конкатенированных нулей. Этот синтаксис может быть легче читать и компактнее, но может привести к неоднозначным типам.

Зависимость

Эта опция активируется, когда целевой язык (заданный опцией Language ) является VHDL.

Информация о командной строке

Свойство: SafeZeroConcat
Тип: Вектор символов
Значение: 'on' | 'off'
По умолчанию: 'on'

Чтобы задать это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Сгенерируйте запутанные HDL-коды

Задайте генерацию запутанного HDL-кода. При помощи обфускации можно делиться HDL-кодом с сторонней стороной, не раскрывая интеллектуальное свойство. Obfuscation снижает читаемость кода. Сгенерированный HDL-код не имеет комментариев, новых строк или пространств и заменяет имена идентификаторов другими случайными именами.

Настройки

По умолчанию: Off

На

Сгенерируйте запутанные HDL-коды.

Прочь

Не генерируйте запутанные HDL-коды.

Зависимость

Чтобы включить этот параметр, необходимо установить флажок Generate HDL Code.

Информация о командной строке

Свойство: ObfuscateGeneratedHDLCode
Тип: Вектор символов
Значение: 'on' | 'off'
По умолчанию: 'off'

Чтобы задать это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

  • Чтобы сгенерировать запутанные HDL-коды при помощи makehdl:

    makehdl('dutname', 'ObfuscateGeneratedHDLCode', 'on')

  • Чтобы сгенерировать запутанные HDL-коды при помощи hdlset_param:

    hdlset_param('modelname', 'ObfuscateGeneratedHDLCode', 'on')
    makehdl('dutname')

Для просмотра документации необходимо авторизоваться на сайте