Параметры скрипта симуляции

Эта страница описывает параметры конфигурации, которые находятся на вкладке HDL Code Generation > EDA Tool Scripts > Simulation Script диалогового окна Configuration Parameters.

Файл симуляции postfix

Укажите постфикс для добавления к имени DUT или испытательного стенда, чтобы сформировать имя файла скрипта симуляции.

Настройки

По умолчанию: _sim.do

Например, если имя тестируемого устройства или испытательного стенда my_design, HDL Coder™ добавляет постфикс _sim.do для формирования имени my_design_sim.do.

Информация о командной строке

Свойство: HDLSimFilePostfix
Тип: Вектор символов
По умолчанию: '_sim.do'

Чтобы задать это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

См. также

Инициализация симуляции

Имя формата передано в fprintf чтобы записать раздел инициализации скрипта симуляции.

Настройки

По умолчанию: Значение по умолчанию является

 ['onbreak resume\nonerror resume\n'] 

The Init фаза скрипта выполняет необходимые действия настройки, такие как создание библиотеки проектов или файла проекта.

Информация о командной строке

Свойство: HDLSimInit
Тип: Вектор символов
По умолчанию: ['onbreak resume\nonerror resume\n']

Чтобы задать это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

См. также

Команда симуляции

Имя формата передано в fprintf для записи команды симуляции.

Настройки

По умолчанию: vsim -voptargs=+acc %s.%s\n

Первый неявный аргумент, %s, - имя библиотеки. Второй неявный аргумент - это модуль верхнего уровня или имя сущности. Если ваш целевой язык является VHDL®имя библиотеки является значением имени библиотеки VHDL. Если ваш целевой язык Verilog®, имя библиотеки 'work' и не может быть изменен.

Если вы компилируете создание фильтра с кодом из других библиотек, обновляйте VHDL library name, чтобы избежать конфликтов по совпадению имен библиотеки.

Примечание

До R2020b команда симуляции по умолчанию была vsim -novopt %s.%s\n. Менторская графика® ModelSim® версии до 10.7 поддерживает прежний синтаксис. Если вы используете более свежую версию Mentor Graphics ModelSim, используйте vsim -voptargs=+acc %s.%s\n синтаксис.

Информация о командной строке

Свойство: HDLSimCmd
Тип: Вектор символов
По умолчанию: 'vsim -novopt %s.%s\n'

Чтобы задать это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

См. также

Команда просмотра формы волны симуляции

Задайте команду просмотра формы волны, записанную в скрипт симуляции.

Настройки

По умолчанию: add wave sim:%s\n

Неявный аргумент% s добавляет пути сигнала для входных, выходных и выходных опорных сигналов верхнего уровня DUT.

Информация о командной строке

Свойство: HDLSimViewWaveCmd
Тип: Вектор символов
По умолчанию: 'add wave sim:%s\n'

Чтобы задать это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

См. также

Завершение симуляции

Имя формата передано в fprintf чтобы записать фрагмент завершения скрипта симуляции.

Настройки

По умолчанию: run -all\n

Фаза завершения (Term) - финальная фаза выполнения скрипта. Одним из приложений этой фазы является выполнение симуляции HDL-кода, который был скомпилирован в Cmd фаза. The Term фаза не принимает аргументы.

Информация о командной строке

Свойство: HDLSimTerm
Тип: Вектор символов
По умолчанию: 'run -all\n'

Чтобы задать это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

См. также

Флаги симулятора

Укажите флаги симулятора для применения к сгенерированным скриптам компиляции.

Настройки

По умолчанию: '' (без флагов симулятора)

Задайте флаги симулятора, чтобы применить к сгенерированным скриптам компиляции в качестве вектора символов. Флаги симулятора специфичны для вашего приложения и симулятора, который вы используете. Для примера, если необходимо использовать компилятор 1076-1993 VHDL, укажите флаг -93.

Флаги, заданные с помощью этой опции, добавляются к команде компиляции в сгенерированных скриптах компиляции. Команда симуляции задается HDLCompileVHDLCmd или HDLCompileVerilogCmd свойства.

Информация о командной строке

Свойство: SimulatorFlags
Тип: Вектор символов
По умолчанию: ''

Чтобы задать это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

См. также

Для просмотра документации необходимо авторизоваться на сайте