Synthesis Script Parameters

This page describes configuration parameters that reside in the HDL Code Generation > EDA Tool Scripts > Synthesis Script tab of the Configuration Parameters dialog box.

Choose synthesis tool

Enable or disable generation of synthesis scripts, and select the synthesis tool for which HDL Coder™ generates scripts.

Settings

Default: None

None

When you select None, HDL Coder does not generate a synthesis script. The coder clears and disables the fields in the Synthesis script pane.

Xilinx ISE

Generate a synthesis script for Xilinx® ISE. When you select this option, the coder:

  • Enables the fields in the Synthesis script pane.

  • Sets Synthesis file postfix to _ise.tcl

  • Fills in the Synthesis initialization, Synthesis command and Synthesis termination fields with TCL script code for the tool.

Microsemi Libero

Generate a synthesis script for Microsemi Libero. When you select this option, the coder:

  • Enables the fields in the Synthesis script pane.

  • Sets Synthesis file postfix to _libero.tcl

  • Fills in the Synthesis initialization, Synthesis command and Synthesis termination fields with TCL script code for the tool.

Mentor Graphics Precision

Generate a synthesis script for Mentor Graphics® Precision. When you select this option, the coder:

  • Enables the fields in the Synthesis script pane.

  • Sets Synthesis file postfix to _precision.tcl

  • Fills in the Synthesis initialization, Synthesis command and Synthesis termination fields with TCL script code for the tool.

Altera Quartus II

Generate a synthesis script for Altera® Quartus II. When you select this option, the coder:

  • Enables the fields in the Synthesis script pane.

  • Sets Synthesis file postfix to _quartus.tcl

  • Fills in the Synthesis initialization, Synthesis command and Synthesis termination fields with TCL script code for the tool.

Synopsys Synplify Pro

Generate a synthesis script for Synopsys® Synplify Pro®. When you select this option, the coder:

  • Enables the fields in the Synthesis script pane.

  • Sets Synthesis file postfix to _synplify.tcl

  • Fills in the Synthesis initialization, Synthesis command and Synthesis termination fields with TCL script code for the tool.

Xilinx Vivado

Generate a synthesis script for Xilinx Vivado®. When you select this option, the coder:

  • Enables the fields in the Synthesis script pane.

  • Sets Synthesis file postfix to _vivado.tcl

  • Fills in the Synthesis initialization, Synthesis command and Synthesis termination fields with TCL script code for the tool.

Custom

Generate a custom synthesis script. When you select this option, the coder:

  • Enables the fields in the Synthesis script pane.

  • Sets Synthesis file postfix to _custom.tcl

  • Fills in the Synthesis initialization, Synthesis command and Synthesis termination fields with example TCL script code.

Command-Line Information

Property: HDLSynthTool
Type: character vector
Value: 'None' | 'ISE' | 'Libero' | 'Precision' | 'Quartus' | 'Synplify' | 'Vivado' | 'Custom'
Default: 'None'

To set this property, use hdlset_param or makehdl. To view the property value, use hdlget_param.

See Also

Synthesis file postfix

Specify a postfix to append to file name for generated synthesis scripts.

Settings

Default: None.

Your choice of synthesis tool (from the Choose synthesis tool pulldown menu) sets the postfix for generated synthesis file names to one of the following:

_ise.tcl
_libero.tcl
_precision.tcl
_quartus.tcl
_synplify.tcl
_vivado.tcl
_custom.tcl

For example, if the DUT name is my_design and the choice of synthesis tool is Synopsys Synplify Pro, HDL Coder adds the postfix _synplify.tcl to form the name my_design_synplify.tcl.

Dependency

To use this setting, the Choose synthesis tool or HDLSynthTool property must be set to a value other than None.

Command-Line Information

Property: HDLSynthFilePostfix
Type: character vector
Default: none

To set this property, use hdlset_param or makehdl. To view the property value, use hdlget_param.

See Also

Synthesis initialization

Format name passed to fprintf to write the initialization section of the synthesis script.

Settings

Default: none.

Your choice of synthesis tool (from the Choose synthesis tool pulldown menu) sets the Synthesis initialization string. The content of the string is specific to the selected synthesis tool.

The default is a synthesis project creation command passed as a format string to fprintf to write the Init section of the synthesis script. The implicit argument, %s, is the top-level module or entity name.

Dependency

To use this setting, the Choose synthesis tool or HDLSynthTool property must be set to a value other than None.

Command-Line Information

Property: HDLSynthInit
Type: character vector
Default: none

To set this property, use hdlset_param or makehdl. To view the property value, use hdlget_param.

See Also

Synthesis command

Format name passed to fprintf to write the synthesis command.

Settings

Default: none.

Your choice of synthesis tool (from the Choose synthesis tool menu) sets the Synthesis command string. The content of the string is specific to the selected synthesis tool.

The default is a format string passed to fprintf to write the Cmd section of the synthesis script. The implicit argument, %s, is the file name of the entity or module. The command is iterated for each generated file.

To avoid issues when generating synthesis scripts for various tools, retain both format specifiers (%s).

Dependency

To use this setting, the Choose synthesis tool or HDLSynthTool property must be set to a value other than None.

Command-Line Information

Property: HDLSynthCmd
Type: character vector
Default: none

To set this property, use hdlset_param or makehdl. To view the property value, use hdlget_param.

See Also

Synthesis termination

Specify a format name that is passed to fprintf to write the termination portion of the synthesis script.

Settings

Default: none

Your choice of synthesis tool (from the Choose synthesis tool pulldown menu) sets the Synthesis termination string. The content of the string is specific to the selected synthesis tool.

The default is a format name passed to fprintf to write the Term section of the synthesis script. The termination string does not take arguments.

Dependency

To use this setting, the Choose synthesis tool or HDLSynthTool property must be set to a value other than None.

Command-Line Information

Property: HDLSynthTerm
Type: character vector
Default: none

To set this property, use hdlset_param or makehdl. To view the property value, use hdlget_param.

See Also

Additional files to add to synthesis project

Include additional HDL or constraint files in synthesis project.

Settings

Default: '' (no files added)

Additional project files, such as HDL source files (.v, .vhd) or constraint files (.ucf), that you want to include in your synthesis project, specified as a character vector. Separate file names with a semicolon (;).

You cannot use this setting to include Tcl files. To specify synthesis project Tcl files, use the AdditionalProjectCreationTclFiles property of the hdlcoder.WorkflowConfig object.

Command-Line Information

Property: SynthesisProjectAdditionalFiles
Type: character vector
Default: ''

To set this property, use hdlset_param or makehdl. To view the property value, use hdlget_param.

To include a source file, src_file.vhd, and a constraint file, constraint_file.ucf, in the synthesis project for a DUT subsystem, myDUT:

hdlset_param (myDUT, 'SynthesisProjectAdditionalFiles', ...
                            'L:\src_file.vhd;L:\constraint_file.ucf;')

See Also

Для просмотра документации необходимо авторизоваться на сайте