Signal Specification

Укажите желаемые размерности, шаг расчета, тип данных, числовой тип и другие атрибуты сигнала

  • Библиотека:
  • Атрибуты Simulink/Signal

    Атрибуты HDL-кодера/сигнала

  • Signal Specification block

Описание

Блок Signal Specification позволяет вам задать атрибуты сигнала, соединенного с его входным и выходным портами. Если указанные атрибуты конфликтуют с атрибутами, заданными блоками, соединенными с его портами, Simulink® программа отображает ошибку при компиляции модели. Например, в начале симуляции, если конфликта не существует, Simulink устраняет блок Signal Specification из скомпилированной модели. Другими словами, Signal Specification блок является виртуальным блоком. Он существует только для задания атрибутов сигнала и не играет никакой роли в симуляции модели.

Можно использовать блок Signal Specification, чтобы убедиться, что фактические атрибуты сигнала соответствуют желаемым атрибутам. Например, предположим, что вы и коллега работаете над различными частями одной и той же модели. Вы используете Signal Specification блоки, чтобы соединить вашу часть модели с коллегой. Если ваш коллега изменяет атрибуты сигнала, не сообщая вам, атрибуты, вводящие соответствующий блок Signal Specification, не совпадают. Когда вы пытаетесь симулировать модель, вы получаете ошибку.

Можно также использовать блок Signal Specification, чтобы гарантировать правильное распространение атрибутов сигнала по всей модели. Однако, если некоторые блоки имеют неопределенные атрибуты для сигналов, которые они принимают или выводят, модель не имеет достаточной информации, чтобы правильно распространять атрибуты. В этих случаях блок Signal Specification может предоставить информацию, необходимую Simulink. Использование блока Signal Specification также помогает ускорить компиляцию модели, когда блоки пропускают атрибуты сигнала.

Блок Signal Specification поддерживает распространение метки сигнала.

Порты

Вход

расширить все

Входной сигнал, атрибуты которого для проверки, заданный как скаляр, вектор, матрица или N-D массив. Блок проверяет атрибуты входного сигнала на соответствие требуемым атрибутам, заданным в диалоговом окне блока. Если атрибуты не совпадают, блок генерирует ошибку.

Типы данных: single | double | half | int8 | int16 | int32 | int64 | uint8 | uint16 | uint32 | uint64 | Boolean | fixed point | enumerated | bus

Выход

расширить все

Выходной сигнал является входным сигналом, когда все атрибуты сигнала соответствуют указанным в диалоговом окне. Если атрибуты не совпадают, блок генерирует ошибку.

Типы данных: single | double | half | int8 | int16 | int32 | int64 | uint8 | uint16 | uint32 | uint64 | Boolean | fixed point | enumerated | bus

Параметры

расширить все

Задайте минимальное значение для выхода блока как конечное действительное двойное скалярное значение.

Примечание

Если вы задаете объект шины в качестве типа данных для этого блока, не устанавливайте минимальное значение для данных шины на блоке. Simulink игнорирует эту настройку. Вместо этого установите минимальные значения для элементов шины объекта шины, заданные как тип данных. Для получения информации о свойстве Minimum элемента шины смотрите Simulink.BusElement.

Simulink использует это значение для выполнения:

  • Проверка области значений симуляции (см. «Задание диапазонов сигнала»)

  • Автоматическое масштабирование типов данных с фиксированной точкой

Программное использование

Параметры блоков: OutMin
Тип: Вектор символов
Значения: скаляр
По умолчанию: '[ ]'

Задайте максимальное значение для выхода блока как конечное действительное двойное скалярное значение.

Примечание

Если вы задаете объект шины в качестве типа данных для этого блока, не устанавливайте максимальное значение для данных шины на блоке. Simulink игнорирует эту настройку. Вместо этого установите максимальные значения для элементов шины объекта шины, заданные как тип данных. Для получения информации о свойстве Maximum элемента шины смотрите Simulink.BusElement.

Simulink использует это значение для выполнения:

  • Проверка области значений симуляции (см. «Задание диапазонов сигнала»)

  • Автоматическое масштабирование типов данных с фиксированной точкой

Программное использование

Параметры блоков: OutMax
Тип: Вектор символов
Значения: скаляр
По умолчанию: '[ ]'

Укажите требуемый тип выходных данных. Если тип данных входного сигнала не совпадает со значением, заданным вами, блок генерирует ошибку.

Нажмите кнопку Show data type assistant, чтобы отобразить Data Type Assistant, которая помогает вам задать атрибуты type данных. Для получения дополнительной информации смотрите Задать типы данных Используя Data Type Assistant.

Программное использование

Параметры блоков: OutDataTypeStr
Тип: Вектор символов
Значение: 'Inherit: auto' | 'double' | 'single' | 'half' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'boolean' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)' | 'Enum: <class name>' | 'Bus: <object name>' | 'string' | <data type expression>
По умолчанию: 'Inherit: auto'

Выберите этот параметр, чтобы предотвратить переопределение инструментами с фиксированной точкой типа данных Output, заданного на блоке. Для получения дополнительной информации смотрите Использование настройки типа выходных данных блокировки (Fixed-Point Designer).

Программное использование

Параметры блоков: LockScale
Тип: Вектор символов
Значения: 'off' | 'on'
По умолчанию: 'off'

Если вы задаете объект шины в качестве типа данных, используйте этот параметр, чтобы указать, принимать ли только невиртуальные сигналы шины.

  • off - Указывает, что сигнал должен поступать от виртуальной шины.

  • on - Указывает, что сигнал должен поступать от невиртуальной шины.

Зависимости

Чтобы включить этот параметр, установите Data type одно из следующих значений:

  • Bus: <object name>

  • <data type expression> который задает объект шины

Программное использование

Параметры блоков: BusOutputAsStruct
Тип: Вектор символов
Значение: 'off' | 'on'
По умолчанию: 'off'

Укажите физическую единицу измерения входного сигнала для блока. Чтобы задать модуль, начните вводить текстовое поле. При вводе в параметре отображаются потенциальные модули соответствия. Список поддерживаемых модулей см. в разделе Разрешенные Модули системы.

Чтобы ограничить систему модулей, щелкните ссылку справа от параметра:

  • Если блок Unit System Configuration существует в компоненте, открывается диалоговое окно. Используйте это диалоговое окно, чтобы задать разрешенные и запрещенные системы модулей измерения для компонента.

  • Если блок Unit System Configuration не существует в компоненте, откроется диалоговое окно Параметры конфигурации модели. Используйте это диалоговое окно, чтобы задать разрешенные и запрещенные системы модулей измерения для модели.

Программное использование

Параметры блоков: Unit
Тип: Вектор символов
Значения: 'inherit' | '<Enter unit>'
По умолчанию: 'inherit'

Задайте размерности входа и выходных сигналов.

  • -1 - Указывает, что сигналы наследуют размерности.

  • n - Задает вектор ширины n.

  • [m n] - Задает матрицу с m строки и n столбцы.

Программное использование

Параметры блоков: Dimensions
Тип: Вектор символов
Значения: '-1' | n | [m n]
По умолчанию: '-1'

Задайте сигнал переменного размера, фиксированного размера или обоих.

  • Inherit - Разрешает сигналы переменного и фиксированного размеров.

  • No - Не разрешает использование сигналов переменного размера.

  • Yes - Разрешает только сигналы переменного размера.

Зависимости

Когда сигнал является сигналом переменного размера, параметр Dimensions задает максимальные размерности сигнала.

Если вы задаете объект шины, симуляция допускает сигналы переменного размера только с отключенным объектом шины.

Программное использование

Параметры блоков: VarSizeSig
Тип: Вектор символов
Значения: 'Inherit' | 'No' | 'Yes'
По умолчанию: 'Inherit'

Задайте временной интервал между выборками. Чтобы наследовать шаг расчета, установите этот параметр равным -1. Дополнительные сведения см. в разделе «Задание шага расчета».

Программное использование

Параметр: SampleTime
Тип: Вектор символов
Значения: Все допустимые шаги расчета
По умолчанию: '-1'

Задайте сложность входного и выходного сигналов.

  • auto - Принимает либо real или complex как числовой тип.

  • real - Задает числовой тип как действительное число.

  • complex - Задает числовой тип как комплексное число.

Программное использование

Параметр: SignalType
Тип: Вектор символов
Значения: 'auto' | 'real' | 'complex'
По умолчанию: 'auto'

Характеристики блоков

Типы данных

Boolean | bus | double | enumerated | fixed point | half | integer | single | string

Прямое сквозное соединение

no

Многомерные сигналы

yes

Сигналы переменного размера

yes

Обнаружение пересечения нулем

no

Расширенные возможности

Генерация кода C/C + +
Сгенерируйте код C и C++ с помощью Coder™ Simulink ®

.

Преобразование с фиксированной точкой
Разрабатывайте и моделируйте системы с фиксированной точкой с помощью Fixed-Point Designer™.

Представлено до R2006a
Для просмотра документации необходимо авторизоваться на сайте