step

Системный объект: visionhdl. ImageFilter
Пакет: visionhdl

2-D конечная импульсная характеристика

Описание

Примечание

Начиная с R2016b, вместо использования step метод для выполнения операции, заданной Системной object™, можно вызвать объект с аргументами, как если бы это была функция. Для примера, y = step(obj,x) и y = obj(x) выполнять эквивалентные операции.

[pixelOut,ctrlOut] = step(filt,pixelIn,ctrlIn) возвращает следующий пиксель, pixelOut, отфильтрованного изображения в результате применения коэффициентов в Coefficients свойство к изображению, описанному потоком входа пикселей, pixelIn.

[pixelOut,ctrlOut] = step(filt,pixelIn,ctrlIn,coeff) возвращает следующий пиксель, pixelOut, отфильтрованного изображения в результате применения коэффициентов в coeff аргумент к изображению, описанному потоком входа пикселей, pixelIn. Объект производит выборку значений из coeff аргумент только в начале системы координат и игнорирует любые изменения в системе координат. Чтобы включить этот синтаксис, установите CoefficientsSource свойство к 'Input port'.

Примечание

Системный объект выполняет инициализацию при первом вызове step способ. Эта инициализация блокирует нетронутые свойства и входные спецификации, такие как размерности, сложность и тип данных входных данных. Если вы изменяете свойство nontunable или спецификацию входа, объект вызывает ошибку. Чтобы изменить нетронутые свойства или входы, сначала вызовите release метод для разблокировки объекта.

Входные параметры

расширить все

Задайте visionhdl.ImageFilter Системный объект, который вы создали и сконфигурировали.

Один пиксель, заданный как скалярное значение.

double и single типы данных поддерживаются для симуляции, но не для генерации HDL-кода.

Можно симулировать Системные объекты с мультипиксельным потоковым интерфейсом, но Системные объекты, которые используют мультипиксельные потоки, не поддерживаются для генерации HDL-кода. Используйте эквивалентные блоки для генерации HDL-кода для мультипиксельных алгоритмов.

Типы данных: single | double | int8 | int16 | int32 | int64 | uint8 | uint16 | uint32 | uint64 | fi

Сигналы управления, сопровождающие входной поток пикселей, заданные как pixelcontrol структура, содержащая пять logical сигналы типа данных. Сигналы описывают валидность пикселя и его местоположение в системе координат. Для получения дополнительной информации смотрите Pixel Control Structure.

Типы данных: struct

Фильтруйте коэффициенты, заданные как матрица. Каждая размерность матрицы должно иметь не менее 2 элементов и не более 16 элементов. Объект производит выборку значений из coeff аргумент только в начале системы координат и игнорирует любые изменения в системе координат.

Чтобы включить этот аргумент, установите CoefficientsSource свойство к 'Input port'.

Типы данных: single | double | int8 | int16 | int32 | int64 | uint8 | uint16 | uint32 | uint64 | fi

Выходные аргументы

расширить все

Один отфильтрованный пиксель, возвращенный как скалярное значение.

Сконфигурируйте тип данных пикселя выхода с помощью OutputDataType и CustomOutputDataType свойства.

Сигналы управления, сопровождающие вывод потока пикселей, возвращенные как pixelcontrol структура, содержащая пять logical сигналы типа данных. Сигналы описывают валидность пикселя и его местоположение в системе координат. Для получения дополнительной информации смотрите Pixel Control Structure.

Типы данных: struct

Введенный в R2015a
Для просмотра документации необходимо авторизоваться на сайте