step

Системный объект: visionhdl. PixelsToFrame
Пакет: visionhdl

Преобразуйте поток пикселей в систему координат изображения

Синтаксис

[frm,validOut] = step(P2F,pixels,ctrlIn)

Описание

Примечание

Начиная с R2016b, вместо использования step метод для выполнения операции, заданной Системной object™, можно вызвать объект с аргументами, как если бы это была функция. Для примера, y = step(obj,x) и y = obj(x) выполнять эквивалентные операции.

[frm,validOut] = step(P2F,pixels,ctrlIn) преобразует вектор значений пикселей, представляющих заполненное изображение, pixelsи связанный вектор структур управления, ctrlIn, в матрицу изображений, frm. Структура управления указывает валидность каждого пикселя и его местоположение в системе координат. Выходное изображение, frm действителен, если validOut является true.

Для получения дополнительной информации о формате потока пикселей см. раздел «Интерфейс потокового пикселя».

Примечание

Системный объект выполняет инициализацию при первом вызове step способ. Эта инициализация блокирует нетронутые свойства и входные спецификации, такие как размерности, сложность и тип данных входных данных. Если вы изменяете свойство nontunable или спецификацию входа, объект вызывает ошибку. Чтобы изменить нетронутые свойства или входы, сначала вызовите release метод для разблокировки объекта.

Входные параметры

расширить все

Задайте visionhdl.PixelsToFrame Системный объект, который вы создали и сконфигурировали.

Пиксельные значения, заданные как P -by- NumComponents матрица, или P -by- NumPixels матрица, где:

  • P - общее количество пикселей в заполненном изображении, рассчитанное как TotalPixelsPerLine × TotalVideoLines.

  • NumComponents - количество компонентов, используемых для выражения одного пикселя.

  • NumPixels - количество пикселей, передаваемых по потоковому интерфейсу за цикл. Когда NumPixels больше 1, необходимо задать NumComponents на 1.

    Примечание

    Можно симулировать Системные объекты с мультипиксельным потоковым интерфейсом, но они не поддерживаются для генерации HDL-кода. Используйте эквивалентные блоки для генерации HDL-кода для мультипиксельных алгоритмов.

Установите размер заполненного изображения с помощью VideoFormat свойство. Если количество элементов в pixels не совпадает с заданным VideoFormatОбъект возвращает предупреждение.

Поддерживаемые типы данных:

  • uint или int

  • fixdt()

  • logical

  • double или single

Управляйте структурами, сопоставленными с входными пикселями, заданными как вектор P -by-1. P - общее количество пикселей в заполненном изображении, рассчитанное как TotalPixelsPerLine × TotalVideoLines. Каждая структура содержит пять управляющих сигналов, указывающих на валидность пикселя и его местоположение в системе координат. Для многопиксельной потоковой передачи сигналы управления применяются к каждому набору NumPixels значения. См. Раздел «Структура управления пикселями» Если размерности обозначены ctrlIn не совпадать с заданным VideoFormatобъект возвращает предупреждение.

Выходные аргументы

расширить все

Image системы координат, возвращается как ActiveVideoLines-by- ActivePixelsPerLine-by- NumComponents матрица, где:

  • ActiveVideoLines - высота активного изображения

  • ActivePixelsPerLine - ширина активного изображения

  • NumComponents - количество компонентов, используемых для выражения одного пикселя

Установите размер активного изображения с помощью свойства VideoFormat. Тип данных значений пикселей тот же, что и pixels.

Статус системы координат, возвращенный как логическое значение. Когда validOut является true, система координат вновь собрана и готова к использованию.

Введенный в R2015a
Для просмотра документации необходимо авторизоваться на сайте