Simple Dual Port RAM System

Простой блок Dual Port RAM на основе hdl.RAM системного объекта со способностью ввести начальное значение

  • Библиотека:
  • HDL Coder / RAM HDL

  • Simple Dual Port RAM System block

Описание

Блоки являются блоками MATLAB System, которые используют hdl.RAM Система object™. Можно задать тип RAM как Dual port, Simple dual port, или Single port. В терминах поведения симуляции блок Dual Port RAM System ведет себя похожий на Dual Port RAM, Single Port RAM System ведет себя похожий на Single Port RAM и так далее. С блоками MATLAB System вы можете:

  • Задайте начальное значение для RAM. В диалоговом окне Block Parameters введите значение для Specify the RAM initial value.

  • Получите более быстрые результаты симуляции, когда вы будете использовать эти блоки в своем Simulink® модель.

  • Создайте параллельные банки RAM, когда вы будете использовать векторные данные путем усиления hdl.RAM Функциональность системного объекта.

  • Получите более высокую эффективность и поддержку больших памятей данных.

Ограничения

  • Блок не поддерживает boolean входные параметры. Бросьте любой boolean типы к ufix1 для входа с блоком.

  • Когда вы создаете поток битов FPGA для RAM, глобальная логика сброса не сбрасывает содержимое RAM. Чтобы сбросить RAM, убедитесь, что вы реализуете логику сброса.

  • Адресом записи RAM может быть любой fixed-point (fi) или integer, должно быть без знака, и должен быть между 2 и 31 биты долго.

Порты

Входной параметр

развернуть все

Данные, которые вы пишете в местоположение Оперативной памяти когда wrEn верно. Этим значением может быть doubleединственныйЦелое число, или fixed-point (fi) объект, и может быть действительным или комплексным.

Типы данных: single | double | int8 | int16 | uint8 | uint16 | fixed point

Адрес RAM, что вы вписываете в данные. Этим значением может быть любой fixed-point (fi) или integer, должно быть без знака, и должен быть между 2 и 31 биты долго.

Зависимости

Чтобы включить этот порт, установите параметр Specify the type of RAM на Simple dual port или Dual port.

Типы данных: uint8 | uint16 | fixed point

Когда wrEn верно, RAM пишет данные в ячейку памяти, которую вы задаете. Если вы устанавливаете Specify the type of RAM на Single port, RAM читает значение в ячейке памяти addr когда wrEn является ложным.

Типы данных: Boolean

Обратитесь к этому, вы считываете данные из RAM. Этим значением может быть любой fixed-point (fi) или integer, и должно быть действительным и без знака.

Зависимости

Чтобы включить этот порт, установите параметр Specify the type of RAM на Simple dual port или Dual port.

Типы данных: uint8 | uint16 | fixed point

Вывод

развернуть все

Старые выходные данные, что RAM читает из ячейки памяти rd_addr.

Зависимости

Чтобы включить этот порт, установите параметр Specify the type of RAM на Simple dual port или Dual port.

Параметры

развернуть все

Тип RAM в виде также:

  • Single port — Создайте один порт RAM с, Записывают данные, Адрес и Разрешение записи как входные параметры и Считанные данные как выход.

  • Simple dual port — Создайте простой двухпортовый RAM с, Записывают данные, адрес Записи, Разрешение записи и адрес Рида как входные параметры и данные из адреса чтения как выход.

  • Dual port — Создайте двухпортовый RAM с, Записывают данные, адрес Записи, Разрешение записи и адрес Рида как входные параметры и данные из адреса чтения и адреса записи как выходные параметры.

Генератор кода динамически конфигурирует порты ввода и вывода блока на основе типа RAM, который вы задаете.

Поведение для Write выход в виде также:

  • 'New data' — Отошлите новые данные в адресе к выходу.

  • Old data' — Отошлите старые данные в адресе к выходу.

Начальная симуляция выход Системного объекта в виде также:

  • Скалярное значение.

  • Вектор со взаимно-однозначным отображением между начальным значением и словами RAM.

Расширенные возможности

Генерация кода C/C++
Генерация кода C и C++ с помощью Simulink® Coder™.

Введенный в R2017b
Для просмотра документации необходимо авторизоваться на сайте