Параметры ограничений тракта мультицикла

Инструменты синтеза требуют, чтобы данные распространили от исходного регистра до целевого регистра в одном такте. Пути к мультициклу не могут завершить свое выполнение в одном такте и не могут удовлетворить требования синхронизации. Чтобы удовлетворить требование синхронизации путей к мультициклу, используйте параметры ограничений тракта мультицикла, чтобы сгенерировать файл информации о пути от регистра к регистру, или сгенерировать включают - базирующиеся ограничения, которые используют контроллер синхронизации, включают сигналы.

Включите - базирующиеся ограничения

Чтобы удовлетворить требование синхронизации мультивелосипедной дорожки в вашей модели, использование включает - базирующиеся ограничения. Ограничения применяются к модели, которой установили Clock inputs на Single. Эта опция полезна для многоскоростной модели, чтобы создать ограничительный файл для ослабления синхронизации низкоскоростных областей.

Включите - базирующиеся ограничения ослабляют требование синхронизации, позволяя несколько тактов для данных распространить между регистрами. Ограничительное использование, которое контроллер синхронизации включает сигналам создать, включает - базирующиеся группы регистров, которые имеют регистры в каждой группе, управляемой теми же часами, включают.

Настройки

Значение по умолчанию: Off

On

Когда вы включаете эту установку и генерируете HDL-код, HDL Coder™ генерирует ограничительный файл с соглашением о присвоении имен dutname_constraints. Формат имени файла зависит от инструмента синтеза, который вы задаете. Ограничительный файл задает требования синхронизации мультивелосипедных дорожек. Файл содержит информацию о множителях часов для вычисления информации о времени установки и времени задержки.

Off

Не генерируйте ограничительный файл мультивелосипедной дорожки.

Зависимость

  • Когда вы устанавливаете флажок Enable-based constraints, убедитесь, что Clock-rate pipelining является Off. Используя включают - базирующиеся ограничения тракта мультицикла являются альтернативой оптимизации конвейеризации тактовой частоты. Можно снять флажок конвейеризации тактовой частоты во вкладке HDL Code Generation> Optimization> Pipelining.

  • Установите Clock inputs на Single. Можно установить вход часов в HDL Code Generation> Global settings> Clock settings > Clock inputs.

  • Сгенерировать файл ограничений тракта мультицикла, сверхдискретизировав фактор должно быть больше 1. Можно задать значение Сверхдискретизации в HDL Code Generation> Global settings> Clock settings > Oversampling.

Информация о командной строке

Параметр: MulticyclePathConstraints
Ввод: символьный вектор
Значение: 'on' | 'off'
Значение по умолчанию: 'off'

Чтобы установить это свойство, используйте функции hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте функцию hdlget_param.

Например, можно включить MulticyclePathConstraints установка, когда вы генерируете HDL-код для symmetric_fir подсистема в sfir_fixed модель при помощи любого из этих методов:

  • Передайте свойство в качестве аргумента к makehdl функция.

    makehdl('sfir_fixed/symmetric_fir', ... 
            'MulticyclePathConstraints','on')
  • Когда вы используете hdlset_param, установите параметр на модели, и затем сгенерируйте HDL-код при помощи makehdl.

    hdlset_param('sfir_fixed','MulticyclePathConstraints','on')
    makehdl('sfir_fixed/symmetric_fir')

Смотрите также

Информация о пути от регистра к регистру

Сгенерируйте текстовый файл, который сообщает ограничительную информацию мультивелосипедной дорожки. Текстовый файл описывает одно или несколько ограничений мультивелосипедной дорожки, которые являются агностиком к инструменту синтеза. Необходимо преобразовать эту информацию в формат, требуемый инструментом синтеза. Рекомендуется, чтобы вы использовали разрешение - базирующиеся ограничения, устанавливающие вместо этого, чтобы удовлетворить требования синхронизации путей к мультициклу. Когда вы используете ту установку, сгенерированные ограничения более устойчивы для смен имени в инструментах синтеза и поддерживаются на Xilinx Vivado, Xilinx ISE, и Altera Quartus II.

Настройки

Значение по умолчанию: Off

On

Сгенерируйте текстовый файл, который сообщает ограничительную информацию мультивелосипедной дорожки для использования с инструментами синтеза.

Имя файла для файла информации о мультивелосипедной дорожке выведено из имени DUT и постфиксного '_constraints',

DUTname_constraints.txt

Например, если именем DUT является symmetric_fir, именем файла информации о мультивелосипедной дорожке является symmetric_fir_constraints.txt.

Off

Не генерируйте файл информации о мультивелосипедной дорожке.

Информация о командной строке

Параметр: MulticyclePathInfo
Ввод: символьный вектор
Значение: 'on' | 'off'
Значение по умолчанию: 'off'

Чтобы установить это свойство, используйте функции hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте функцию hdlget_param.

Например, можно включить MulticyclePathInfo установка, когда вы генерируете HDL-код для symmetric_fir подсистема в sfir_fixed модель при помощи любого из этих методов:

  • Передайте свойство в качестве аргумента к makehdl функция.

    makehdl('sfir_fixed/symmetric_fir', ... 
            'MulticyclePathInfo','on')
  • Когда вы используете hdlset_param, установите параметр на модели, и затем сгенерируйте HDL-код при помощи makehdl.

    hdlset_param('sfir_fixed','MulticyclePathInfo','on')
    makehdl('sfir_fixed/symmetric_fir')

Вопросы совместимости

Начиная в R2021b, не рекомендуется использовать Register-to-register path info для генерации информации о мультивелосипедной дорожке. Эта опция удалена из вкладки HDL Code Generation> Optimization в HDL Coder Properties. Рекомендуется использовать, Включают - базирующиеся ограничения для генерации информации о пути к мультициклу. Можно все еще включить эту опцию в интерфейсе командной строки MATLAB при помощи hdlset_param или makehdl команды.

Смотрите также

Для просмотра документации необходимо авторизоваться на сайте