Генерация DPI для подсистемы Simulink

Сгенерируйте компонент SystemVerilog DPI от Simulink® подсистема

Можно использовать компонент DPI, сгенерированный от Подсистемы Simulink двумя способами:

  • Экспортируйте Компонент SystemVerilog DPI — можно интегрировать этот компонент в симуляцию HDL как поведенческая модель. Генератор компонента поддерживает тестовые точки и настраиваемые параметры. Можно также сгенерировать испытательный стенд SystemVerilog, который проверяет сгенерированный компонент DPI по векторам данных от подсистемы. Смотрите Генерируют Компонент SystemVerilog DPI.

  • Сгенерируйте испытательный стенд SystemVerilog DPI (с HDL Coder™) — Использование этот испытательный стенд, чтобы проверить ваш сгенерированный HDL-код с помощью кода С, сгенерированного из целой модели Simulink, включая DUT и источники данных. Смотрите Проверяют Проект HDL Используя Испытательный стенд (HDL Coder) SystemVerilog DPI.

Смотрите Генерацию Компонента DPI с Simulink. У вас должна быть лицензия Simulink Coder™, чтобы использовать эту функцию.

Приложения

HDL VerifierСгенерируйте компонент SystemVerilog DPI от Подсистемы Simulink

Блоки

AssertionСгенерируйте утверждения SystemVerilog от утверждения Simulink

Темы

Сгенерируйте и проверьте компонент DPI

Генерация компонента DPI с Simulink

Если у вас есть лицензия Simulink Coder, можно сгенерировать компоненты SystemVerilog DPI с помощью одного из двух методов.

Испытательные стенды SystemVerilog DPI

Выберите между двумя типами испытательных стендов SVDPI.

Сгенерируйте компонент SystemVerilog DPI

Как сгенерировать компонент SystemVerilog DPI. Эта тема берет вас через рабочий процесс генерации компонента DPI от Simulink и исследует различные параметры конфигурации.

Используйте сгенерированные функции DPI в SystemVerilog

Как экспортировать сгенерированный компонент DPI в среду SystemVerilog.

Проверьте сгенерированный компонент по данным Simulink

Запустите ModelSim® или Questa® в режиме GUI.

Сгенерируйте утверждения SystemVerilog от места размещения Simulink Test

Сгенерируйте утверждения SystemVerilog от своего окружения Simulink.

Усовершенствованные опции DPI

Сгенерируйте кросс-платформенные компоненты DPI

Сгенерируйте компонент DPI для операционной системы, отличающейся от вашего MATLAB® хост-машина.

Настройте сгенерированный код SystemVerilog

Описывает, как настроить сгенерированный код SystemVerilog.

Настройте параметр усиления в процессе моделирования

Сгенерируйте компонент DPI, который обеспечивает настраиваемый доступ к параметру.

Тестовая точка компонента SystemVerilog DPI доступ

Можно определять внутренние сигналы в модели как тестовые точки и сконфигурировать генератор SystemVerilog DPI, чтобы создать одну или несколько функций доступа.

Сгенерируйте утверждения SystemVerilog и функциональное покрытие

Сгенерируйте SystemVerilog мгновенные утверждения от verify операторы и блоки верификации модели, и собирают функциональную информацию покрытия (требует лицензии Simulink Test™).

Проверьте Сгенерированный HDL-код с испытательным стендом SystemVerilog DPI (требует лицензии HDL Coder),

Проверьте проект HDL Используя испытательный стенд (HDL Coder) SystemVerilog DPI

В этом примере показано, как использовать испытательный стенд SystemVerilog DPI для верификации HDL-кода, где большой набор данных требуется.

Сгенерируйте испытательный стенд и включите покрытие кода Используя HDL Workflow Advisor (HDL Coder)

Сгенерируйте испытательный стенд и покрытие кода для сгенерированного HDL-кода с помощью HDL Workflow Advisor.

Рекомендуемые примеры

Для просмотра документации необходимо авторизоваться на сайте