Запустите сеанс Cosimulation Simulink

Установите параметры конфигурации модели Simulink

Когда вы создаете Simulink® модель, которая включает один или несколько HDL Verifier™ блоки Cosimulation, вы можете хотеть настроить определенные установки параметров Simulink, чтобы лучше всего удовлетворить потребности моделирования HDL. Например, вы можете хотеть настроить значение параметра Stop time в панели Solver диалогового окна Model Configuration Parameters.

Можно настроить параметры индивидуально, или можно использовать шаблоны модели DSP System Toolbox™ Simulink, чтобы автоматически сконфигурировать окружение Simulink с рекомендуемыми настройками для моделирования цифровой обработки сигналов.

ПараметрНастройка по умолчанию
'SingleTaskRateTransMsg''error'
'Solver''fixedstepdiscrete'
'EnableMultiTasking''off'
'StartTime''0.0'
'StopTime''inf'
'FixedStep''auto'
'SaveTime''off'
'SaveOutput''off'
'AlgebraicLoopMsg''error'

Настройки по умолчанию для SaveTime и SaveOutput улучшайте производительность симуляции.

Для получения дополнительной информации о шаблонах модели DSP System Toolbox Simulink см. документацию DSP System Toolbox.

Определите доступный номер порта сокета

Определить доступное использование номера сокета: ttcp -a приглашение оболочки.

Проверяйте состояние связи

Чтобы проверять состояние связи, на вкладке Modeling, в разделе Compile, нажимают Update Model. Если у вас будет ошибка в связи, Simulink уведомит вас.

MATLAB® команда pingHdlSim может также использоваться, чтобы проверять состояние связи. Если-1 возвращен, то нет никакой связи с симулятором HDL.

Запустите и протестируйте модель Cosimulation

В общем случае последняя стадия cosimulation должна запустить и протестировать вашу модель. Существуют некоторые шаги, о которых необходимо знать при изменении модели во время или между cosimulation сеансами. Можно запустить cosimulation одним из трех способов:

Cosimulation Используя Simulink и графический интерфейсы пользователя симулятора HDL

Запустите симулятор HDL и загрузите свой проект HDL. Для испытательного стенда cosimulation, начните симуляцию сначала в симуляторе HDL. Затем в Simulink, во вкладке Simulation, нажимают Run. Simulink запускает модель и отображает любые ошибки, которые это обнаруживает. Можно чередоваться между симулятором HDL и графический интерфейсами пользователя Simulink, чтобы контролировать результаты cosimulation.

Для компонента cosimulation, запустите симуляцию в Simulink сначала, затем начните симуляцию в симуляторе HDL.

Можно задать "графический интерфейс пользователя", когда значение свойства для параметра режима выполнения команды запуска симулятора HDL HDL Verifier, но начиная с использования графический интерфейса пользователя является режимом по умолчанию для HDL Verifier, вы не имеете к.

Cosimulation с Simulink Используя интерфейс командной строки (CLI)

Выполнение вашего cosimulation сеанса с помощью интерфейса командной строки позволяет вам взаимодействовать с симулятором HDL во время cosimulation, который может быть полезным для отладки.

Чтобы использовать CLI, задайте "CLI" как значение свойства для параметра режима выполнения команды запуска симулятора HDL HDL Verifier.

Внимание

Закройте окно терминала путем ввода quit -f в командной строке. Не закрывайте окно терминала путем нажатия на "X" в верхнем правом угле. Это заставляет ошибку типа памяти быть выпущенной от системы. Это не ошибка с HDL Verifier, но только способом, которым симулятор HDL ведет себя в этом контексте.

Можно ввести CTRL+C, чтобы прервать и отключить симуляцию в симуляторе HDL, но это действие также заставляет ошибку типа памяти быть отображенной.

 Задайте режим CLI с nclaunch (Острый тактовый сигнал)

 Задайте режим CLI с vsim (Mentor Graphics ModelSim)

Cosimulation с Simulink Используя пакетный режим

Выполнение вашего cosimulation сеанса в пакетном режиме позволяет вам сохранять процесс в фоновом режиме, снижая спрос на памяти путем расцепления графический интерфейса пользователя.

Чтобы использовать пакетный режим, задайте "Пакет" как значение свойства для параметра режима выполнения команды запуска симулятора HDL HDL Verifier. После того, как вы дадите команду запуска симулятора HDL HDL Verifier с заданным пакетным режимом, запустите симуляцию в Simulink. Чтобы остановить симулятор HDL перед, симуляция завершается, проблема breakHdlSim команда.

 Задайте Пакетный режим с nclaunch (Острый тактовый сигнал)

 Задайте Пакетный режим с vsim (Mentor Graphics ModelSim)

Протестируйте Cosimulation

Если вы хотите сбросить часы во время cosimulation, можно сделать так одним из этих способов:

  • Путем ввода симулятора HDL force команды в командной строке симулятора HDL

  • Путем определения симулятора HDL force команды в текстовом поле Post- simulation command на панели Simulation HDL Verifier диалоговое окно параметров блоков Cosimulation.

См. также Часы, Сброс, и Включите Сигналы.

Если вы изменяете какую-либо часть модели Simulink, включая HDL параметры блоков Cosimulation, обновляете модель, чтобы отразить те изменения. Можно сделать это обновление одним из следующих способов:

  • Повторно выполните симуляцию

  • На вкладке Modeling, в разделе Compile, нажимают Update Model.

Установите параметры из скрипта Tcl

Можно создать скрипт Tcl, который перечисляет команды Tcl, которые вы хотите выполнить на симуляторе HDL, или пред - или постсимуляция.

Скрипты Tcl для пользователей ModelSim

Можно создать файл ModelSim DO, который перечисляет команды Tcl, и затем укажите что файл с ModelSim do команда можно следующим образом:

do mycosimstartup.do

или

do mycosimcleanup.do

Можно включать quit -f команда в после-того,-как-симуляции команда Tcl или файл DO, чтобы обеспечить ModelSim, чтобы закрыться в конце cosimulation сеанса. Задайте всю после-того,-как-симуляцию команды Tcl в одном блоке cosimulation и поместите quit в конце команды или файла DO.

За исключением quit, команда или файл DO, который вы задаете, не могут включать команды, которые загружают проект ModelSim или изменяют состояние средства моделирования. Например, они не могут включать команды, такие как startостановка, или restart.

Скрипты Tcl для острого® Пользователи

Можно создать симулятор HDL скрипт Tcl, который перечисляет команды Tcl, и затем укажите что файл с симулятором HDL source команда можно следующим образом:

source mycosimstartup.script_extension

или

source mycosimcleanup.script_extension

Можно включать exit команда в после-того,-как-симуляции скрипт Tcl, чтобы обеспечить симулятор HDL, чтобы закрыться в конце cosimulation сеанса. Задайте всю после-того,-как-симуляцию команды Tcl в одном блоке cosimulation и поместите exit в конце команды или скрипта Tcl.

За исключением exit, команда или скрипт Tcl, который вы задаете, не могут включать команды, которые загружают проект симулятора HDL или изменяют состояние средства моделирования. Например, они не могут включать команды, такие как runостановка, или reset.

Этот пример показывает скрипт Tcl когда -gui аргумент использовался с hdlsimmatlab или hdlsimulink:

after 1000 {ncsim -submit exit}

Этот пример показывает выходной скрипт Tcl, чтобы использовать когда -tcl аргумент использовался с hdlsimmatlab или hdlsimulink:

after 1000 {exit}

Избегайте условий состязания в симуляции HDL с испытательным стендом Cosimulation и HDL HDL Verifier блок Cosimulation

В симуляторе HDL вы не можете управлять порядком, в котором сигнализируют часы (возрастающее ребро, или ребро падения) заданный в блоке HDL Cosimulation применяются, относительно вводов данных, управляемых этими часами. Если вы стараетесь проверить отношение между данными и активными ребрами часов, можно избежать условий состязания, которые могли создать отличие cosimulation результаты. Смотрите Условия состязания в симуляторах HDL.

Для просмотра документации необходимо авторизоваться на сайте