Запустите симулятор HDL для Cosimulation в Simulink

Запустите симулятор HDL с MATLAB

Запустите симулятор HDL непосредственно с MATLAB® путем вызывания функции HDL Verifier™ vsim или nclaunch.

>>vsim

Обратите внимание на то, что, если оба инструмента (MATLAB и симулятор HDL) не работают на той же системе, необходимо запустить симулятор HDL вручную и загрузить библиотеки HDL Verifier сами. См. Библиотеки Cosimulation.

Можно вызвать vsim или nclaunch дополнительными параметрами; смотрите страницы с описанием для деталей.

Необходимо убедиться исполняемые файлы симулятора HDL — также названный vsim (ModelSim®) и nclaunch (Острый тактовый сигнал®) — находятся на системном пути. См. свою документацию по системе для инструкции относительно установки переменных окружения.

Пользователи Linux

Убедитесь, что исполняемый файл симулятора HDL находится все еще на системном пути после того, как интерпретатор запускается из MATLAB. Если это не, убедитесь, что файл запуска интерпретатора не удаляет его из переменной окружения пути.

Загрузите экземпляр модуля HDL для Cosimulation

Острый® пользователи загружают экземпляр модуля HDL для cosimulation использование hdlsimulink функция. Пользователи ModelSim делают то же использование vsimulink функция.

 Пример загрузки экземпляра Модуля HDL — Острые пользователи

 Пример загрузки экземпляра Модуля HDL — пользователи ModelSim

Эта команда открывает рабочую область симуляции для manchester и отображает ряд сообщений в командном окне симулятора HDL, когда средство моделирования загружает пакеты и архитектуры для модуля HDL.

Для просмотра документации необходимо авторизоваться на сайте