nclaunch

Запустите и сконфигурируйте Тактовый сигнал Острые средства моделирования для использования с программным обеспечением HDL Verifier

Описание

nclaunch запускает Острый Тактовый сигнал® средство моделирования для использования с MATLAB® и Simulink® функции программного обеспечения HDL Verifier™. Первая папка в Тактовом сигнале, Острое средство моделирования совпадает с вашей текущей папкой MATLAB, если вы не задаете явный rundir параметр.

пример

nclaunch(Name,Value) задает аргументы пары "имя-значение", который позволяет, вы, чтобы настроить команды Tcl раньше запускали Тактовый сигнал Острое средство моделирования, ncsim исполняемый файл, который будет использоваться, путь и имя скрипта Tcl, который хранит команды запуска, и для приложений Simulink, деталей о режиме коммуникации, которая будет использоваться приложениями.

После того, как вы вызовете эту функцию, можно использовать функции HDL Verifier для симулятора HDL (например, hdlsimmatlab, hdlsimulink) сделать интерактивную настройку отладки.

Примеры

свернуть все

Скомпилируйте проект и запустите Simulink.

nclaunch('tclstart',{'exec ncverilog -64bit -c +access+rw +linedebug top.v','hdlsimulink' ...
     -gui work.top'},'socketsimulink','4449','rundir','/proj');

В этом примере, nclaunch выполняет следующее:

  • Компилирует проект top.v: exec ncverilog -64bit -c +access+rw +linedebug top.v.

  • Начинает Simulink с графический интерфейса пользователя от proj папка с загруженной моделью: hdlsimulink -gui work.top и 'rundir', '/proj'.

  • Дает Simulink команду связываться с интерфейсом HDL Verifier на порте сокета 4449: 'socketsimulink','4449'.

Все эти команды заданы в односимвольном векторе как значение свойства к tclstart.

Создайте скрипт Tcl, чтобы запустить симулятор HDL с использования интерпретатора Tcl nclaunch.

Задайте имя скрипта Tcl и команды (команд), к которой это включает как параметры nclaunch:

nclaunch('tclstart','xxx','startupfile','myTclscript','starthdlsim','yes') 

В этом примере создается скрипт Tcl, и команда, чтобы запустить симулятор HDL включена. Запуск файл Tcl называют "myTclscript".

Выполните скрипт в интерпретаторе Tcl:

shell> Tclsh myTclscript

Это запускает симулятор HDL.

Создайте последовательность из команд Tcl, которые затем выполняются в интерпретаторе Tcl после вызова nclaunch из MATLAB.

Присвойте значения команды Tcl Tclcmd параметр nclaunch:

Tclcmd{1} = 'exec ncvlog -64bit vlogtestbench_top.v'
Tclcmd{2} = 'exec ncelab -64bit -access +wc vlogtestbench_top'
Tclcmd{3} = ['hdlsimmatlab -gui vlogtestbench_top ' '-input "{@matlabcp... 
     vlogtestbench_top.u_matlab_component -mfunc vlogmatlabc...
     -socket 32864}" ' '-input "{@run 50}"']
Tclcmd = 

    'exec ncvlog -64bit vlogtestbench_top.v'    'exec ncelab -64bit -access +wc vlogtestbench_top'


Tclcmd = 

    'exec ncvlog -64bit vlogtestbench_top.v'    'exec ncelab -64bit -access +wc vlogtestbench_top'


Tclcmd = 

    [1x31 char]    [1x41 char]    [1x145 char]
  • tclcmd{1} компиляции vlogtestbench_top.

  • tclcmd{2} разрабатывает модель.

  • tclcmd{3} вызовы hdlsimmatlab в gui режим и загрузки разработанный vlogtestbench_top в средстве моделирования.

Выйдите nclaunch команда, передавая tclcmd переменная только установила:

nclaunch('hdlsimdir','local.IUS.glnx.tools.bin','tclstart',tclcmd);

В этом примере, nclaunch запускает следующие задачи посредством команд Tcl, присвоенных в tclcmd:

  • Выполняет аргументы, передаваемые с -input (matlabtb и run) в ncsim Интерпретатор Tcl.

  • Издает приказ к matlabcp, который сопоставляет функцию vlogmatlabc к экземпляру модуля u_matlab_component.

  • Принимает что hdldaemon в MATLAB слушает на порте 32864

  • Сообщает run функционируйте, чтобы запустить 50 модулей разрешения (метки деления).

Входные параметры

свернуть все

Аргументы name-value

Задайте дополнительные разделенные запятой пары Name,Value аргументы. Name имя аргумента и Value соответствующее значение. Name должен появиться в кавычках. Вы можете задать несколько аргументов в виде пар имен и значений в любом порядке, например: Name1, Value1, ..., NameN, ValueN.

Пример: nclaunch('runmode','GUI') начинает симулятор HDL с графического интерфейса пользователя.

Путь к Тактовому сигналу Острый исполняемый файл средства моделирования в виде разделенной запятой пары, состоящей из 'hdlsimdir' и путь. По умолчанию функция выполняет первую версию средства моделирования, которое функция находит на системном пути.

Типы данных: char

Имя Тактового сигнала Острый исполняемый файл средства моделирования в виде разделенной запятой пары, состоящей из 'hdlsimexe' и имя средства моделирования. По умолчанию функция использует 'ncsim' средство моделирования.

Типы данных: char

Запись в запуске файл Tcl в виде разделенной запятой пары, состоящей из 'libdir' и имя папки. Это указывает на папку с разделяемыми библиотеками для Тактового сигнала Острое средство моделирования, чтобы связаться с MATLAB, когда Тактовый сигнал, Острое средство моделирования работает на машине, которая не имеет MATLAB.

Типы данных: char

Файл библиотеки для симуляции HDL в виде разделенной запятой пары, состоящей из 'libfile' и имя файла библиотеки. Если симулятор HDL соединяет другие библиотеки, включая библиотеки SystemC, которые были созданы с помощью компилятора, предоставленного симулятором HDL, можно задать альтернативный файл библиотеки с этим свойством. По умолчанию функция использует ту версию файла библиотеки, который был создан с помощью того же компилятора, который использует сам MATLAB.

Типы данных: char

Местоположение, чтобы запустить симулятор HDL в виде разделенной запятой пары, состоящей из 'rundir' и имя папки.

Следующие условия применяются к этой паре "имя-значение":

  • Если значение dirname “TEMPDIR”, функция создает временную папку, в которой это запускает симулятор HDL.

  • Если вы задаете dirname и директория не существует, вы получите ошибку.

Типы данных: char

Режим выполнения для симулятора HDL в виде разделенной запятой пары, состоящей из 'runmode' и одно из следующих значений:

  • 'Batch' – Запускает симулятор HDL в фоне без окна

  • 'Batch with Xterm' – Запускает симулятор HDL в фоне без окна

  • 'CLI' – Запускает симулятор HDL в окне интерактивного терминала

  • 'GUI' – Начинает симулятор HDL с графического интерфейса пользователя

Связь сокета TCP/IP между Тактовым сигналом Острое средство моделирования и Simulink в виде разделенной запятой пары, состоящей из 'socketsimulink' и номер порта или сервисное имя. По умолчанию функция использует коммуникацию общей памяти.

Типы данных: char

Опция, чтобы запустить Тактовый сигнал Острое средство моделирования в виде разделенной запятой пары, состоящей из 'starthdlsim' и одно из следующих значений:

  • 'yes' – Создать запуск файл Tcl после запускающегося Тактового сигнала Острое средство моделирования.

  • 'no' – Создать запуск файл Tcl, не запуская Тактовый сигнал Острое средство моделирования.

Название и местоположение сгенерированного файла Tcl в виде разделенной запятой пары, состоящей из 'startupfile' и путь. Сгенерированный скрипт Tcl, когда выполняется, компилирует и запускает симулятор HDL.

Типы данных: char

Выполните команды TCL перед Тактовым сигналом Острые запуски средства моделирования в виде разделенной запятой пары, состоящей из 'tclstart'и команда Tcl.

Примечание

Необходимо ввести exec перед не-Tcl системные команды интерпретатора. Например:

exec -ncverilog -64bit -c +access+rw +linedebug top.v
hdlsimulink -gui work.top

Необходимо задать по крайней мере одну команду; в противном случае никакое действие не происходит.

Типы данных: char

Введенный в R2008a
Для просмотра документации необходимо авторизоваться на сайте