Специфичные для блока параметры

Чтобы записать скрипты, которые создают и изменяют модели, можно использовать get_param и set_param функции, чтобы запросить и изменить свойства и параметры блока или схемы. Используйте таблицы, чтобы определить программируемое имя параметра или свойства в диалоговом окне блока.

Программируемые параметры блоков и моделей

Программируемыми параметрами, которые описывают модель, является model parameters. Параметрами, которые описывают блок, является block parameters. Параметры, которые характерны для всего Simulink® блоками является common block parameters (см. Common Block Properties). Много блоков также имеют уникальный block-specific parameters. Маскированный блок может иметь mask parameters (см. Параметры Маски).

Модель и свойства блока также включают коллбэки, которые являются командами, которые выполняются, когда определенное событие модели или блока происходит. Эти события включают открытие модели, симуляцию модели, копирование блока, открытие блока, и т.д. Видят Коллбэки модели, блока и порта.

Совет

Для параметров блоков, которые принимают значения массивов, число элементов в массиве не может превысить что int_T может представлять. Это ограничение применяется и к симуляции и к генерации кода Simulink Coder™.

Максимальное количество символов, которые может содержать поле редактирования параметра, 49,000.

Специфичные для блока параметры и программируемые эквиваленты

Таблицы приводят специфичные для блока параметры для блоков Simulink. Тип блока появляется в круглых скобках после имени блока. Некоторые блоки Simulink работают подсистемами маскированными. Таблицы показывают маскированные блоки путем добавления, что обозначение "замаскировало подсистему" после типа блока.

Тип, перечисленный для блоков немаскированных, является значением BlockType параметр (см. Common Block Properties). Тип, перечисленный для маскированных блоков, является значением MaskType параметр (см. Параметры Маски).

Столбец Подсказки Диалогового окна указывает на текст подсказки для параметра в диалоговом окне блока. Столбец Значений показывает тип требуемого значения (скаляр, вектор, переменная), возможные значения (разделенный вертикальной линией), и значение по умолчанию (заключенный в фигурные скобки).

Непрерывные параметры библиотечного блока

Блокируйте (Тип) / ПараметрПодсказка диалогового окнаЗначения

Производный (Derivative)

   CoefficientInTFapproximation

Коэффициент c в приближении передаточной функции s/(c*s+1) используемый для линеаризации

{'inf'}

Интегратор (Integrator)

   ExternalReset

Внешний сброс

{'none'} | 'rising' | 'falling' | 'either' | 'level' | 'level hold'

   InitialConditionSource

Начальный источник условия

{'internal'} | 'external'

   InitialCondition

Начальное условие

скаляр или вектор — {'0'}

   LimitOutput

Limit выход

{'off'} | 'on'

   UpperSaturationLimit

Верхний предел насыщения

скаляр или вектор — {'inf'}

   LowerSaturationLimit

Более низкий предел насыщения

скаляр или вектор — {'-inf'}

   ShowSaturationPort

Покажите порт насыщения

{'off'} | 'on'

   ShowStatePort

Порт состояния показа

{'off'} | 'on'

   AbsoluteTolerance

Абсолютная погрешность

вектор символов, скаляр или вектор — {'auto'}| {'–1'} | любой действительный скаляр или вектор

   IgnoreLimit

Проигнорируйте предел и сбросьте при линеаризации

{'off'} | 'on'

   ZeroCross

Включите обнаружение пересечения нулем

'off' | {'on'}

   ContinuousStateAttributes

Имя состояния

{''} | пользовательский

   WrapState

Перенесите состояние

{'off'} | 'on'

   WrappedStateUpperValue

Верхнее значение перенесенного состояния

скаляр или вектор — {'pi'}

   WrappedStateLowerValue

Нижнее значение перенесенного состояния

скаляр или вектор — {'-pi'}

Интегратор второго порядка (SecondOrderIntegrator)

   ICSourceX

Начальный источник условия x

{'internal'} | 'external'

   ICX

Начальное условие x

скаляр или вектор — {'0'}

   LimitX

Ограничьте x

{'off'} | 'on'

   UpperLimitX

Верхний предел x

скаляр или вектор — {'inf'}

   LowerLimitX

Нижний предел x

скаляр или вектор — {'-inf'}

   WrapStateX

Позвольте перенестись x

{'off'} | 'on'

   WrappedUpperValueX

Верхнее значение для переноса x

скаляр или вектор — {'pi'}

   WrappedLowerValueX

Нижнее значение для переноса x

скаляр или вектор — {'-pi'}

   AbsoluteToleranceX

Абсолютная погрешность x

вектор символов, скаляр или вектор — {'auto'}| {'–1'} | любой действительный скаляр или вектор

   StateNameX

Имя x состояния

{} | пользовательский

   ICSourceDXDT

Начальный источник условия dx/dt

{'internal'} | 'external'

   ICDXDT

Начальное условие dx/dt

скаляр или вектор — {'0'}

   LimitDXDT

Ограничьте dx/dt

{'off'} | 'on'

   UpperLimitDXDT

Верхний предел dx/dt

скаляр или вектор — {'inf'}

   LowerLimitDXDT

Нижний предел dx/dt

скаляр или вектор — {'-inf'}

   AbsoluteToleranceDXDT

Абсолютная погрешность dx/dt

вектор символов, скаляр или вектор — {'auto'}| {'–1'} | любой действительный скаляр или вектор

   StateNameDXDT

Имя состояния dx/dt

{} | пользовательский

   ExternalReset

Внешний сброс

{'none'} | 'rising' | 'falling' | 'either'

   ZeroCross

Включите обнаружение пересечения нулем

{'on'} | 'off'

   ReinitDXDTwhenXreachesSaturation

Повторно инициализируйте dx/dt, когда x достигнет насыщения

{'off'} | 'on'

   IgnoreStateLimitsAndResetForLinearization

Проигнорируйте пределы состояния и сброс для линеаризации

{'off'} | 'on'

   ShowOutput

Выход Show

{'both'} | 'x' | 'dxdt'

Пространство состояний (StateSpace)

   A

A

матрица — {'1'}

   B

B

матрица — {'1'}

   C

C

матрица — {'1'}

   D

D

матрица — {'1'}

   InitialCondition

Начальные условия

вектор — {'0'}

   AbsoluteTolerance

Абсолютная погрешность

вектор символов, скаляр или вектор — {'auto'}| {'–1'} | любой действительный скаляр или вектор

   ContinuousStateAttributes

Имя состояния

{''} | пользовательский

Передайте (TransferFcn) Fcn

   Numerator

Коэффициенты числителя

вектор или матрица — {'[1]'}

   Denominator

Коэффициенты знаменателя

вектор — {'[1 1]'}

   AbsoluteTolerance

Абсолютная погрешность

вектор символов, скаляр или вектор — {'auto'}| {'–1'} | любой действительный скаляр или вектор

   ContinuousStateAttributes

Имя состояния

{''} | пользовательский

Транспортная задержка (TransportDelay)

   DelayTime

Задержка

скаляр или вектор — {'1'}

   InitialOutput

Начальный выход

скаляр или вектор — {'0'}

   BufferSize

Размер начального буфера

скаляр — {'1024'}

   FixedBuffer

Используйте зафиксированный buffer size

{'off'} | 'on'

   TransDelayFeedthrough

Прямое сквозное соединение входа во время линеаризации

{'off'} | 'on'

   PadeOrder

Порядок Pade (для линеаризации)

{'0'}

Переменная задержка (VariableTimeDelay)

   VariableDelayType

Выберите тип задержки

'Variable transport delay' | {'Variable time delay'}

   MaximumDelay

Максимальная задержка

скаляр или вектор — {'10'}

   InitialOutput

Начальный выход

скаляр или вектор — {'0'}

   MaximumPoints

Размер начального буфера

скаляр — {'1024'}

   FixedBuffer

Используйте зафиксированный buffer size

{'off'} | 'on'

   ZeroDelay

Обработайте нулевую задержку

{'off'} | 'on'

   TransDelayFeedthrough

Прямое сквозное соединение входа во время линеаризации

{'off'} | 'on'

   PadeOrder

Порядок Pade (для линеаризации)

{'0'}

   ContinuousStateAttributes

Имя состояния

{''} | пользовательский

Переменная транспортная задержка (VariableTransportDelay)

   VariableDelayType

Выберите тип задержки

{'Variable transport delay'} | 'Variable time delay'

   MaximumDelay

Максимальная задержка

скаляр или вектор — {'10'}

   InitialOutput

Начальный выход

скаляр или вектор — {'0'}

   MaximumPoints

Размер начального буфера

скаляр — {'1024'}

   FixedBuffer

Используйте зафиксированный buffer size

{'off'} | 'on'

   TransDelayFeedthrough

Прямое сквозное соединение входа во время линеаризации

{'off'} | 'on'

   PadeOrder

Порядок Pade (для линеаризации)

{'0'}

   AbsoluteTolerance

Абсолютная погрешность

вектор символов, скаляр или вектор — {'auto'}| {'–1'} | любой положительный действительный скаляр или вектор

   ContinuousStateAttributes

Имя состояния

{''} | пользовательский

Нулевой полюсный (ZeroPole)

   Zeros

Нули

вектор — {'[1]'}

   Poles

Полюса

вектор — {'[0 -1]'}

   Gain

Усиление

вектор — {'[1]'}

   AbsoluteTolerance

Абсолютная погрешность

вектор символов, скаляр или вектор — {'auto'}| {'–1'} | любой положительный действительный скаляр или вектор

   ContinuousStateAttributes

Имя состояния

{''} | пользовательский

Параметры библиотечного блока разрывов

Блокируйте (Тип) / Параметр

Подсказка диалогового окна

Значения

Обратная реакция (Backlash)

   BacklashWidth

Ширина мертвой зоны

скаляр или вектор — {'1'}

   InitialOutput

Начальный выход

скаляр или вектор — {'0'}

   ZeroCross

Включите обнаружение пересечения нулем

'off' | {'on'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

Coulomb & Viscous Friction (Coulombic and Viscous Friction) (подсистема маскированная)

   offset

Значение трения Кулона (Смещение)

{'[1 3 2 0]'}

   gain

Коэффициент вязкого трения (Усиление)

{'1'}

Мертвая зона (DeadZone)

   LowerValue

Запустите мертвой зоны

скаляр или вектор — {'-0.5'}

   UpperValue

Конец мертвой зоны

скаляр или вектор — {'0.5'}

   SaturateOnIntegerOverflow

Насыщайте на целочисленном переполнении

'off' | {'on'}

   LinearizeAsGain

Обработка как усиление при линеаризации

'off' | {'on'}

   ZeroCross

Включите обнаружение пересечения нулем

'off' | {'on'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

Мертвый зональный динамический (Dead Zone Dynamic) (подсистема маскированная)

Поразите пересекающийся (HitCross)

   HitCrossingOffset

Поразите смещение пересечения

скаляр или вектор — {'0'}

   HitCrossingDirection

Поразите пересекающееся направление

'rising' | 'falling' | {'either'}

   ShowOutputPort

Покажите выходной порт

'off' | {'on'}

   ZeroCross

Включите обнаружение пересечения нулем

'off' | {'on'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

Квантизатор (Quantizer)

   QuantizationInterval

Интервал квантования

скаляр или вектор — {'0.5'}

   LinearizeAsGain

Обработка как усиление при линеаризации

'off' | {'on'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

Ограничитель уровня (RateLimiter)

   RisingSlewLimit

Возрастающая скорость нарастания

{'1'}

   FallingSlewLimit

Падающая скорость нарастания

{'-1'}

   SampleTimeMode

Режим шага расчета

'continuous' | {'inherited'}

   InitialCondition

Начальное условие

{'0'}

   LinearizeAsGain

Обработка как усиление при линеаризации

'off' | {'on'}

Ограничитель уровня динамический (Rate Limiter Dynamic) (подсистема маскированная)

Релейный (Relay)

   OnSwitchValue

Включите точку

{'eps'}

   OffSwitchValue

Выключите точку

{'eps'}

   OnOutputValue

Выведите когда на

{'1'}

   OffOutputValue

Выведите когда прочь

{'0'}

   ZeroCross

Включите обнаружение пересечения нулем

'off' | {'on'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

'Inherit: Inherit via back propagation' | {'Inherit: All ports same datatype'} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16)' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)' | 'Enum: <class name>'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

Насыщение (Saturate)

   UpperLimit

Верхний предел

скаляр или вектор — {'0.5'}

   LowerLimit

Нижний предел

скаляр или вектор — {'-0.5'}

   LinearizeAsGain

Обработка как усиление при линеаризации

'off' | {'on'}

   ZeroCross

Включите обнаружение пересечения нулем

'off' | {'on'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

'Inherit: Inherit via back propagation' | {'Inherit: Same as input'} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

Насыщение динамический (Saturation Dynamic) (подсистема маскированная)

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

{'Inherit: Same as second input'} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   OutputDataTypeScalingMode

Удержанный от использования в R2007b

 

   OutDataType

Удержанный от использования в R2007b

 

   OutScaling

Удержанный от использования в R2007b

 

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   DoSatur

Насыщайте на целочисленном переполнении

{'off'} | 'on'

Перенеситесь, чтобы обнулить (Wrap To Zero) (подсистема маскированная)

   Threshold

'Порог'

{'255'}

Дискретные параметры библиотечного блока

Блокируйте (Тип) / ПараметрПодсказка диалогового окнаЗначения

Задержите (Delay)

   DelayLengthSource

Задержите длину> Источник

{'Dialog'} | 'Input port'

   DelayLength

Задержите длину> Значение

{'2'}

   DelayLengthUpperLimit

Задержите длину> Верхний предел

{'100'}

   InitialConditionSource

Начальное условие> Источник

{'Dialog'} | 'Input port'

   InitialCondition

Начальное условие> Значение

{'0.0'}

   ExternalReset

Внешний сброс

{'None'} | 'Rising' | 'Falling' | 'Either' | 'Level' | 'Level hold'

   InputProcessing

Обработка входа

'Columns as channels (frame based)' | {'Elements as channels (sample based)'} | 'Inherited'

   UseCircularBuffer

Используйте кольцевой буфер для состояния

{'off'} | 'on'

   PreventDirectFeedthrough

Предотвратите прямое сквозное соединение

{'off'} | 'on'

   RemoveDelayLengthCheckInGeneratedCode

Удалите регистрацию длины задержки сгенерированного кода

{'off'} | 'on'

   DiagnosticForDelayLength

Диагностика для длины задержки

{'None'} | 'Warning' | 'Error'

   SampleTime

Шаг расчета (–1 для наследованного)

{'-1'}

   StateName

Имя состояния

{''}

   StateMustResolveToSignalObject

Имя состояния должно решить к объекту Сигнала Simulink

{'off'} | 'on'

   StateSignalObject

Класс объекта сигнала

Класс памяти генерации кода

Simulink.Signal объект

Объект класса, который выведен из Simulink.Signal

   StateStorageClass

Класс памяти генерации кода

{'Auto'} | 'Model default' | 'ExportedGlobal' | 'ImportedExtern' | 'ImportedExternPointer' | 'Custom'

   CodeGenStateStorageTypeQualifier

Спецификатор типа хранения генерации кода

{''}

Различие (Difference) (подсистема маскированная)

   ICPrevInput

Начальное условие для предыдущего входа

{'0.0'}

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

{'Inherit: Inherit via internal rule'} | 'Inherit: Inherit via back propagation' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   OutputDataTypeScalingMode

Удержанный от использования в R2007b

 

   OutDataType

Удержанный от использования в R2007b

 

   OutScaling

Удержанный от использования в R2007b

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   DoSatur

Насыщайте к макс. или min, когда переполнение произойдет

{'off'} | 'on'

Дискретная производная (Discrete Derivative) (подсистема маскированная)

   gainval

Значение усиления

{'1.0'}

   ICPrevScaledInput

Начальное условие для предыдущего взвешенного входа K*u/Ts

{'0.0'}

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

{'Inherit: Inherit via internal rule'} | 'Inherit: Inherit via back propagation' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   OutputDataTypeScalingMode

Удержанный от использования в R2007b

 

   OutDataType

Удержанный от использования в R2007b

 

   OutScaling

Удержанный от использования в R2007b

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   DoSatur

Насыщайте к макс. или min, когда переполнение произойдет

{'off'} | 'on'

Дискретный КИХ-фильтр (Discrete FIR Filter)

   CoefSource

Содействующий источник

{'Dialog parameters'} | 'Input port'

   FilterStructure

Отфильтруйте структуру

{'Direct form'} | 'Direct form symmetric' | 'Direct form antisymmetric' | 'Direct form transposed' | 'Lattice MA'

Примечание

У вас должна быть лицензия DSP System Toolbox™, чтобы использовать структуру фильтра кроме Direct form.

   Coefficients

Коэффициенты

вектор — {'[0.5 0.5]'}

   InputProcessing

Обработка входа

'Columns as channels (frame based)' | {'Elements as channels (sample based)'}

   InitialStates

Начальные состояния

скаляр или вектор — {'0'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   CoefMin

Содействующий минимум

{'[]'}

   CoefMax

Содействующий максимум

{'[]'}

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   TapSumDataTypeStr

Коснитесь типа данных суммы

{'Inherit: Same as input'} | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)'

   CoefDataTypeStr

Содействующий тип данных

{'Inherit: Same word length as input'} | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16)' | 'fixdt(1,16,0)'

   ProductDataTypeStr

Тип выходных данных продукта

{'Inherit: Inherit via internal rule'} | 'Inherit: Same as input' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)'

   AccumDataTypeStr

Тип данных аккумулятора

{'Inherit: Inherit via internal rule'} | 'Inherit: Same as input' | 'Inherit: Same as product output' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)'

   StateDataTypeStr

Тип данных состояния

'Inherit: Same as input' | {'Inherit: Same as accumulator'} | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)'

   OutDataTypeStr

Тип выходных данных

'Inherit: Same as input' | {'Inherit: Same as accumulator'} | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16)' | 'fixdt(1,16,0)'

   LockScale

Заблокируйте настройки типа данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   SaturateOnIntegerOverflow

Насыщайте на целочисленном переполнении

{'off'} | 'on'

Дискретный фильтр (DiscreteFilter)

   Numerator

Коэффициенты числителя

вектор — {'[1]'}

   Denominator

Коэффициенты знаменателя

вектор — {'[1 0.5]'}

   IC

Начальные состояния

{'0'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'1'}

   a0EqualsOne

Оптимизируйте путем пропуска, делятся на ведущий коэффициент знаменателя (a0)

{'off'} | 'on'

   NumCoefMin

Содействующий минимум числителя

{'[]'}

   NumCoefMax

Содействующий максимум числителя

{'[]'}

   DenCoefMin

Содействующий минимум знаменателя

{'[]'}

   DenCoefMax

Содействующий максимум знаменателя

{'[]'}

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   StateDataTypeStr

Тип данных состояния

{'Inherit: Same as input'} | 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

   NumCoefDataTypeStr

Содействующий тип данных числителя

{'Inherit: Inherit via internal rule'} | 'int8' | 'int16' | 'int32' | 'fixdt(1,16)' | 'fixdt(1,16,0)'

   DenCoefDataTypeStr

Содействующий тип данных знаменателя

{'Inherit: Inherit via internal rule'} | 'int8' | 'int16' | 'int32' | 'fixdt(1,16)' | 'fixdt(1,16,0)'

   NumProductDataTypeStr

Тип выходных данных продукта числителя

{'Inherit: Inherit via internal rule'} | 'Inherit: Same as input' | 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

   DenProductDataTypeStr

Тип выходных данных продукта знаменателя

{'Inherit: Inherit via internal rule'} | 'Inherit: Same as input' | 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

   NumAccumDataTypeStr

Тип данных аккумулятора числителя

{'Inherit: Inherit via internal rule'} | 'Inherit: Same as input' | 'Inherit: Same as product output' | 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

   DenAccumDataTypeStr

Тип данных аккумулятора знаменателя

{'Inherit: Inherit via internal rule'} | 'Inherit: Same as input' | 'Inherit: Same as product output' | 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

   OutDataTypeStr

Тип выходных данных

{'Inherit: Inherit via internal rule'} | 'Inherit: Same as input' | 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

   LockScale

Заблокируйте настройки типа данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   SaturateOnIntegerOverflow

Насыщайте на целочисленном переполнении

{'off'} | 'on'

   StateName

Имя состояния

{''}

   StateMustResolveToSignalObject

Имя состояния должно решить к объекту Сигнала Simulink

{'off'} | 'on'

   StateSignalObject

Класс объекта сигнала

Класс памяти генерации кода

Simulink.Signal объект

Объект класса, который выведен из Simulink.Signal

   StateStorageClass

Класс памяти генерации кода

{'Auto'} | 'Model default' | 'ExportedGlobal' | 'ImportedExtern' | 'ImportedExternPointer' | 'Custom'

   RTWStateStorageTypeQualifier

Спецификатор типа хранения генерации кода

{''}

Дискретное пространство состояний (DiscreteStateSpace)

   A

A

матрица — {'1'}

   B

B

матрица — {'1'}

   C

C

матрица — {'1'}

   D

D

матрица — {'1'}

   InitialCondition

Начальные условия

вектор — {'0'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'1'}

   StateName

Имя состояния

{''}

   StateMustResolveToSignalObject

Имя состояния должно решить к объекту Сигнала Simulink

{'off'} | 'on'

   StateSignalObject

Класс объекта сигнала

Класс памяти генерации кода

Simulink.Signal объект

Объект класса, который выведен из Simulink.Signal

   StateStorageClass

Класс памяти генерации кода

{'Auto'} | 'Model default' | 'ExportedGlobal' | 'ImportedExtern' | 'ImportedExternPointer' | 'Custom'

   RTWStateStorageTypeQualifier

Спецификатор типа хранения генерации кода

{''}

Дискретная передача Fcn (DiscreteTransferFcn)

   Numerator

Коэффициенты числителя

вектор — {'[1]'}

   Denominator

Коэффициенты знаменателя

вектор — {'[1 0.5]'}

   InitialStates

Начальные состояния

{'0'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'1'}

   a0EqualsOne

Оптимизируйте путем пропуска, делятся на ведущий коэффициент знаменателя (a0)

{'off'} | 'on'

   NumCoefMin

Содействующий минимум числителя

{'[]'}

   NumCoefMax

Содействующий максимум числителя

{'[]'}

   DenCoefMin

Содействующий минимум знаменателя

{'[]'}

   DenCoefMax

Содействующий максимум знаменателя

{'[]'}

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   StateDataTypeStr

Тип данных состояния

{'Inherit: Same as input'} | 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

   NumCoefDataTypeStr

Содействующий тип данных числителя

{'Inherit: Inherit via internal rule'} | 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

   DenCoefDataTypeStr

Содействующий тип данных знаменателя

{'Inherit: Inherit via internal rule'} | 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

   NumProductDataTypeStr

Тип выходных данных продукта числителя

{'Inherit: Inherit via internal rule'} | 'Inherit: Same as input' | 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

   DenProductDataTypeStr

Тип выходных данных продукта знаменателя

{'Inherit: Inherit via internal rule'} | 'Inherit: Same as input' | 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

   NumAccumDataTypeStr

Тип данных аккумулятора числителя

{'Inherit: Inherit via internal rule'} | 'Inherit: Same as input' | 'Inherit: Same as product output' | 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

   DenAccumDataTypeStr

Тип данных аккумулятора знаменателя

{'Inherit: Inherit via internal rule'} | 'Inherit: Same as input' | 'Inherit: Same as product output' | 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

   OutDataTypeStr

Тип выходных данных

{'Inherit: Inherit via internal rule'} | 'Inherit: Same as input' | 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

   LockScale

Заблокируйте настройки типа данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   SaturateOnIntegerOverflow

Насыщайте на целочисленном переполнении

{'off'} | 'on'

   StateName

Имя состояния

{''}

   StateMustResolveToSignalObject

Имя состояния должно решить к объекту Сигнала Simulink

{'off'} | 'on'

   StateSignalObject

Класс объекта сигнала

Класс памяти генерации кода

Simulink.Signal объект

Объект класса, который выведен из Simulink.Signal

   StateStorageClass

Класс памяти генерации кода

{'Auto'} | 'Model default' | 'ExportedGlobal' | 'ImportedExtern' | 'ImportedExternPointer' | 'Custom'

   RTWStateStorageTypeQualifier

Спецификатор типа хранения генерации кода

{''}

Дискретный нулевой полюсный (DiscreteZeroPole)

   Zeros

Нули

вектор — {'[1]'}

   Poles

Полюса

вектор — {'[0 0.5]'}

   Gain

Усиление

{'1'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'1'}

   StateName

Имя состояния

{''}

   StateMustResolveToSignalObject

Имя состояния должно решить к объекту Сигнала Simulink

{'off'} | 'on'

   StateSignalObject

Класс объекта сигнала

Класс памяти генерации кода

Simulink.Signal объект

Объект класса, который выведен из Simulink.Signal

   StateStorageClass

Класс памяти генерации кода

{'Auto'} | 'Model default' | 'ExportedGlobal' | 'ImportedExtern' | 'ImportedExternPointer' | 'Custom'

   RTWStateStorageType
   Спецификатор

Спецификатор типа хранения генерации кода

{''}

Интегратор дискретного времени (DiscreteIntegrator)

   IntegratorMethod

Метод интегратора

{'Integration: Forward Euler'} | 'Integration: Backward Euler' | 'Integration: Trapezoidal' | 'Accumulation: Forward Euler' | 'Accumulation: Backward Euler' | 'Accumulation: Trapezoidal'

   gainval

Значение усиления

{'1.0'}

   ExternalReset

Внешний сброс

{'none'} | 'rising' | 'falling' | 'either' | 'level' | 'sampled level'

   InitialConditionSource

Начальный источник условия

{'internal'} | 'external'

   InitialCondition

Начальное условие

скаляр или вектор — {'0'}

   InitialConditionSetting

Начальная установка условия

{'State (most efficient)'} | 'Output' | 'Compatibility'

   SampleTime

Шаг расчета (-1 для наследованного)

{'1'}

   LimitOutput

Limit выход

{'off'} | 'on'

   UpperSaturationLimit

Верхний предел насыщения

скаляр или вектор — {'inf'}

   LowerSaturationLimit

Более низкий предел насыщения

скаляр или вектор — {'-inf'}

   ShowSaturationPort

Покажите порт насыщения

{'off'} | 'on'

   ShowStatePort

Порт состояния показа

{'off'} | 'on'

   IgnoreLimit

Проигнорируйте предел и сбросьте при линеаризации

{'off'} | 'on'

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

{'Inherit: Inherit via internal rule'} | 'Inherit: Inherit via back propagation' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   SaturateOnInteger
   Переполнение

Насыщайте на целочисленном переполнении

{'off'} | 'on'

   StateName

Имя состояния

{''}

   StateMustResolveTo
   SignalObject

Имя состояния должно решить к объекту Сигнала Simulink

{'off'} | 'on'

   StateSignalObject

Класс объекта сигнала

Класс памяти генерации кода

Simulink.Signal объект

Объект класса, который выведен из Simulink.Signal

   StateStorageClass

Класс памяти генерации кода

{'Auto'} | 'Model default' | 'ExportedGlobal' | 'ImportedExtern' | 'ImportedExternPointer' | 'Custom'

   RTWStateStorageType
   Спецификатор

Спецификатор типа хранения генерации кода

{''}

Хранение первого порядка (First-Order Hold) (подсистема маскированная)

   Ts

Размер шага

{'1'}

Память (Memory)

   InitialCondition

Начальное условие

скаляр или вектор — {'0'}

   InheritSampleTime

Наследуйте шаг расчета

{'off'} | 'on'

   LinearizeMemory

Прямое сквозное соединение входа во время линеаризации

{'off'} | 'on'

   LinearizeAsDelay

Обработайте как единичная задержка при линеаризации с дискретным шагом расчета

{'off'} | 'on'

   StateName

Имя состояния

{''}

   StateMustResolveTo
  SignalObject

Имя состояния должно решить к объекту Сигнала Simulink

{'off'} | 'on'

   StateSignalObject

Класс объекта сигнала

Класс памяти генерации кода

Simulink.Signal объект

Объект класса, который выведен из Simulink.Signal

   StateStorageClass

Класс памяти генерации кода

{'Auto'} | 'Model default' | 'ExportedGlobal' | 'ImportedExtern' | 'ImportedExternPointer' | 'Custom'

   RTWStateStorageType
  Спецификатор

Спецификатор типа хранения генерации кода

{''}

Коснувшаяся задержка (S-функция) (Tapped Delay Line) (подсистема маскированная)

   vinit

Начальное условие

{'0.0'}

   samptime

Размер шага

{'-1'}

   NumDelays

Количество задержек

{'4'}

   DelayOrder

Закажите выходной вектор начиная с

{'Oldest'} | 'Newest'

   includeCurrent

Включайте текущий вход в выходной вектор

{'off'} | 'on'

Передайте первый порядок Fcn (First Order Transfer Fcn) (подсистема маскированная)

   PoleZ

Полюс (в плоскости Z)

{'0.95'}

   ICPrevOutput

Начальное условие для предыдущего выхода

{'0.0'}

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   DoSatur

Насыщайте к макс. или min, когда переполнение произойдет

{'off'} | 'on'

Передайте вывод Fcn или изолируйте (Lead or Lag Compensator) (подсистема маскированная)

   PoleZ

Полюс компенсатора (в плоскости Z)

{'0.95'}

   ZeroZ

Нуль компенсатора (в плоскости Z)

{'0.75'}

   ICPrevOutput

Начальное условие для предыдущего выхода

{'0.0'}

   ICPrevInput

Начальное условие для предыдущего входа

{'0.0'}

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   DoSatur

Насыщайте к макс. или min, когда переполнение произойдет

{'off'} | 'on'

Передайте действительный нуль Fcn (Transfer Fcn Real Zero) (подсистема маскированная)

   ZeroZ

Нуль (в плоскости Z)

{'0.75'}

   ICPrevInput

Начальное условие для предыдущего входа

{'0.0'}

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   DoSatur

Насыщайте к макс. или min, когда переполнение произойдет

{'off'} | 'on'

Unit Delay (UnitDelay)

   InitialCondition

Начальное условие

скаляр или вектор — {'0'}

   InputProcessing

Обработка входа

'Columns as channels (frame based)' | {'Elements as channels (sample based)'} | 'Inherited'

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   StateName

Имя состояния

{''}

   StateMustResolveTo
   SignalObject

Имя состояния должно решить к объекту Сигнала Simulink

{'off'} | 'on'

   StateSignalObject

Класс объекта сигнала

Класс памяти генерации кода

Simulink.Signal объект

Объект класса, который выведен из Simulink.Signal

   StateStorageClass

Класс памяти генерации кода

{'Auto'} | 'Model default' | 'ExportedGlobal' | 'ImportedExtern' | 'ImportedExternPointer' | 'Custom'

   CodeGenStateStorageType
   Спецификатор

Спецификатор типа хранения генерации кода

{''}

Нулевой порядок содержит (ZeroOrderHold)

   SampleTime

Шаг расчета (-1 для наследованного)

{'1'}

Логика и параметры библиотечного блока битовых операций

Блокируйте (Тип) / Параметр

Подсказка диалогового окна

Значения

Ясный бит (Bit Clear) (подсистема маскированная)

   iBit

Индекс бита (0 младше значащее),

{'0'}

Набор битов (Bit Set) (подсистема маскированная)

   iBit

Индекс бита (0 младше значащее),

{'0'}

Побитовый оператор (S-функция) (Bitwise Operator) (подсистема маскированная)

   logicop

Оператор

{'AND'} | 'OR' | 'NAND' | 'NOR' | 'XOR' | 'NOT'

   UseBitMask

Используйте битовую маску...

'off' | {'on'}

   NumInputPorts

Количество входных портов

{'1'}

   BitMask

Битовая маска

{'bin2dec('11011001')'}

   BitMaskRealWorld

Обработайте маску как

'Real World Value' | {'Stored Integer'}

Комбинаторная логика (CombinatorialLogic)

   TruthTable

Таблица истинности

{'[0 0;0 1;0 1;1 0;0 1;1 0;1 0;1 1]'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

Сравните с постоянным (Compare To Constant) (подсистема маскированная)

   relop

Оператор

'==' | '~=' | '<' | {'<='} | '>=' | '>'

   const

Постоянное значение

{'3.0'}

   OutDataTypeStr

Тип выходных данных

{'boolean'} | 'uint8'

   ZeroCross

Включите обнаружение пересечения нулем

'off' | {'on'}

Сравните с нулем (Compare To Zero) (подсистема маскированная)

   relop

Оператор

'==' | '~=' | '<' | {'<='} | '>=' | '>'

   OutDataTypeStr

Тип выходных данных

{'boolean'} | 'uint8'

   ZeroCross

Включите обнаружение пересечения нулем

'off' | {'on'}

Обнаружьте изменение (Detect Change) (подсистема маскированная)

   vinit

Начальное условие

{'0'}

   OutDataTypeStr

Тип выходных данных

{'boolean'} | 'uint8'

Обнаружьте уменьшение (Detect Decrease) (подсистема маскированная)

   vinit

Начальное условие

{'0.0'}

   OutDataTypeStr

Тип выходных данных

{'boolean'} | 'uint8'

Обнаружьте отрицательное падение (Detect Fall Negative) (подсистема маскированная)

   vinit

Начальное условие

{'0'}

   OutDataTypeStr

Тип выходных данных

{'boolean'} | 'uint8'

Обнаружьте неположительное падение (Detect Fall Nonpositive) (подсистема маскированная)

   vinit

Начальное условие

{'0'}

   OutDataTypeStr

Тип выходных данных

{'boolean'} | 'uint8'

Обнаружьте увеличение (Detect Increase) (подсистема маскированная)

   vinit

Начальное условие

{'0.0'}

   OutDataTypeStr

Тип выходных данных

{'boolean'} | 'uint8'

Обнаружьте неотрицательное повышение (Detect Rise Nonnegative) (подсистема маскированная)

   vinit

Начальное условие

{'0'}

   OutDataTypeStr

Тип выходных данных

{'boolean'} | 'uint8'

Обнаружьте положительное повышение (Detect Rise Positive) (подсистема маскированная)

   vinit

Начальное условие

{'0'}

   OutDataTypeStr

Тип выходных данных

{'boolean'} | 'uint8'

Извлеките биты (Extract Bits) (подсистема маскированная)

   bitsToExtract

Биты, чтобы извлечь

{'Upper half'} | 'Lower half' | 'Range starting with most significant bit' | 'Range ending with least significant bit' | 'Range of bits'

   numBits

Количество битов

{'8'}

   bitIdxRange

Битные индексы ([запускают конец], на основе 0 относительно LSB),

{'[0 7]'}

   outScalingMode

Выведите масштабирующийся режим

{'Preserve fixed-point scaling'} | 'Treat bit field as an integer'

Тест интервала (Interval Test) (подсистема маскированная)

   IntervalClosedRight

Интервал закрывается на праве

'off' | {'on'}

   uplimit

Верхний предел

{'0.5'}

   IntervalClosedLeft

Интервал, на котором замыкаются оставленный

'off' | {'on'}

   lowlimit

Нижний предел

{'-0.5'}

   OutDataTypeStr

Тип выходных данных

{'boolean'} | 'uint8'

Динамический тест интервала (Interval Test Dynamic) (подсистема маскированная)

   IntervalClosedRight

Интервал закрывается на праве

'off' | {'on'}

   IntervalClosedLeft

Интервал, на котором замыкаются оставленный

'off' | {'on'}

   OutDataTypeStr

Тип выходных данных

{'boolean'} | 'uint8'

Логический оператор (Logic)

   Operator

Оператор

{'AND'} | 'OR' | 'NAND' | 'NOR' | 'XOR' | 'NXOR' | 'NOT'

   Inputs

Количество входных портов

{'2'}

   IconShape

Форма значка

{'rectangular'} | 'distinctive'

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   AllPortsSameDT

Потребуйте всех входных параметров и выведите, чтобы иметь совпадающий тип данных

{'off'} | 'on'

   OutDataTypeStr

Тип выходных данных

'Inherit: Logical (see Configuration Parameters: Optimization)' | {'boolean'} | 'fixdt(1,16)'

Оператор отношения (RelationalOperator)

   Operator

Оператор отношения

'==' | '~=' | '<' | {'<='} | '>=' | '>' | 'isInf' | 'isNaN' | 'isFinite'

   ZeroCross

Включите обнаружение пересечения нулем

'off' | {'on'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   InputSameDT

Потребуйте, чтобы все входные параметры имели совпадающий тип данных

{'off'} | 'on'

   OutDataTypeStr

Тип выходных данных

'Inherit: Logical (see Configuration Parameters: Optimization)' | {'boolean'} | 'fixdt(1,16)'

Переключите арифметический (ArithShift)

   BitShiftNumberSource

Биты, чтобы переключить> Источник

{'Dialog'} | 'Input port'

   BitShiftDirection

Биты, чтобы переключить> Направление

'Left' | 'Right' | {'Bidirectional'}

   BitShiftNumber

Биты, чтобы переключить> Номер

{'8'}

   BinPtShiftNumber

Двоичные точки, чтобы переключить> Номер

{'0'}

   DiagnosticForOORShift

Диагностика для значения сдвига из области значений

{'None'} | 'Warning' | 'Error'

   CheckOORBitShift

Проверяйте на 'Биты из области значений, чтобы переключить' в сгенерированном коде

{'off'} | 'on'

   nBitShiftRight

Удержанный от использования в R2011a

 

   nBinPtShiftRight

Удержанный от использования в R2011a

 

Параметры библиотечного блока интерполяционной таблицы

Блокируйте (Тип) / Параметр

Подсказка диалогового окна

Значения

Косинус (Cosine) (подсистема маскированная)

   Formula

Выведите формулу

'sin(2*pi*u)' | {'cos(2*pi*u)'} | 'exp(j*2*pi*u)' | 'sin(2*pi*u) and cos(2*pi*u)'

   NumDataPoints

Количество точек данных для интерполяционной таблицы

{'(2^5)+1'}

   OutputWordLength

Выведите размер слова

{'16'}

   InternalRulePriority

Внутренний приоритет правила для интерполяционной таблицы

{'Speed'} | 'Precision'

Прямая интерполяционная таблица (n-D) (LookupNDDirect)

   NumberOfTableDimensions

Количество табличных размерностей

'1' | {'2'} | '3' | '4'

   InputsSelectThisObjectFromTable

Входные параметры выбирают этот объект из таблицы

{'Element'} | 'Vector' | '2-D Matrix'

   TableIsInput

Сделайте таблицу входом

{'off'} | 'on'

   Table

Табличные данные

{'[4 5 6;16 19 20;10 18 23]'}

   DiagnosticForOutOfRangeInput

Диагностика для входа из области значений

'None' | {'Warning'} | 'Error'

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   TableMin

Табличный минимум

{'[]'}

   TableMax

Табличный максимум

{'[]'}

   TableDataTypeStr

Тип данных table

{'Inherit: Inherit from 'Table data''} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'boolean' | 'fixdt(1,16)' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   LockScale

Заблокируйте настройки типа данных против изменений Fixed-Point Tool

{'off'} | 'on'

   maskTabDims

Удержанный от использования в R2009b

 

   explicitNumDims

Удержанный от использования в R2009b

   outDims

Удержанный от использования в R2009b

 

   tabIsInput

Удержанный от использования в R2009b

 

   mxTable

Удержанный от использования в R2009b

 

   clipFlag

Удержанный от использования в R2009b

 

   samptime

Удержанный от использования в R2009b

 

Интерполяция Используя предварительный поиск (Interpolation_n-D)

   NumberOfTableDimensions

Количество табличных размерностей

'1' | {'2'} | '3' | '4'

   Table

Табличные данные> Значение

{'sqrt([1:11]' * [1:11])'}

   TableSource

Табличные данные> Источник

{'Dialog'} | 'Input port'

   TableSpecification

Спецификация

{'Explicit values'} | | 'Lookup table object'

Устанавливать этот параметр от 'Explicit values' к 'Lookup table object', используйте тот же вызов set_param устанавливать параметр LookupTableObject. Например:

set_param('myModel/myInterpBlock',...
'TableSpecification',...
'Lookup table object',...
'LookupTableObject','myLUTObject')

   LookupTableObject

Имя объекта интерполяционной таблицы

{''}

   InterpMethod

Метод интерполяции

'Flat' | {'Linear point-slope'} | 'Nearest' | 'Linear Lagrange'

   ExtrapMethod

ExtrapolationMethod

'Clip' | {'Linear'}

   ValidIndexMayReachLast

Допустимый вход индекса может достигнуть последнего индекса

{'off'} | 'on'

   DiagnosticForOutOfRange
   Входной параметр

Диагностика для входа из области значений

{'None'} | 'Warning' | 'Error'

   RemoveProtectionIndex

Удалите защиту от индекса из области значений в сгенерированном коде

{'off'} | 'on'

   NumSelectionDims

Количество подтабличных размерностей выбора

{'0'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   TableDataTypeStr

Табличные данные> Тип данных

'Inherit: Inherit from 'Table data'' | {'Inherit: Same as output'} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16)' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   TableMin

Табличные данные> Минимум

{'[]'}

   TableMax

Табличные данные> Максимум

{'[]'}

   IntermediateResultsDataTypeStr

Промежуточные результаты> Тип данных

{'Inherit: Inherit via internal rule'} | 'Inherit: Same as output' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   OutDataTypeStr

Выведите> Тип данных

'Inherit: Inherit via back propagation' | {'Inherit: Inherit from table data'} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   OutMin

Выведите> Минимум

{'[]'}

   OutMax

Выведите> Максимум

{'[]'}

   InternalRulePriority

Внутренний приоритет правила

{'Speed'} | 'Precision'

   LockScale

Заблокируйте настройки типа данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   SaturateOnIntegerOverflow

Насыщайте на целочисленном переполнении

{'off'} | 'on'

   CheckIndexInCode

Удержанный от использования в R2011a

 

Интерполяционная таблица n-D, 1D Интерполяционная таблица, двумерная интерполяционная таблица (Lookup_n-D)

   NumberOfTableDimensions

Количество табличных размерностей

'1' | '2' | '3' | '4'. Значение по умолчанию ‘1’ для 1D Интерполяционной таблицы, ‘2’ для двумерной интерполяционной таблицы, ‘3’ для n-D Интерполяционной таблицы.

   DataSpecification

(n-D Интерполяционная таблица) спецификация Данных

{'Table and breakpoints'} | 'Lookup table object'

Устанавливать этот параметр от 'Table and breakpoints' к 'Lookup table object', используйте тот же вызов set_param устанавливать параметр LookupTableObject. Например:

set_param('myModel/myLookupBlock',...
'DataSpecification','Lookup table...
object',...
'LookupTableObject','myLUTObject')

   LookupTableObject

Имя объекта интерполяционной таблицы.

{''}

   Table

Табличные данные

{'reshape(repmat([4 5 6;16 19 20;10 18 23],1,2),[3,3,2])'}

   BreakpointsSpecification

Спецификация точек останова

{'Explicit values'} | 'Even spacing'

   BreakpointsForDimension1FirstPoint

Первая точка

{'1'}

   BreakpointsForDimension2FirstPoint

Первая точка

{'1'}

   BreakpointsForDimension3FirstPoint

Первая точка

{'1'}

   ...

...

...

   BreakpointsForDimension30FirstPoint

Первая точка

{'1'}

   BreakpointsForDimension1Spacing

Разрядка

{'1'}

   BreakpointsForDimension2Spacing

Разрядка

{'1'}

   BreakpointsForDimension3Spacing

Разрядка

{'1'}

   ...

...

...

   BreakpointsForDimension30Spacing

Разрядка

{'1'}

   BreakpointsForDimension1

Точки останова 1

{'[10,22,31]'}

   BreakpointsForDimension2

Точки останова 2

{'[10,22,31]'}

   BreakpointsForDimension3

Точки останова 3

{'[5, 7]'}

   ...

......

   BreakpointsForDimension30

Точки останова 30

{'[1:3]'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   InterpMethod

Метод интерполяции

'Flat' | 'Nearest' | {'Linear point-slope'} | 'Linear Lagrange' | 'Cubic spline'

   ExtrapMethod

ExtrapolationMethod

'Clip' | {'Linear'} | 'Cubic spline'

   UseLastTableValue

Используйте последнее табличное значение для входных параметров в или выше последней точки останова

{'off'} | 'on'

   DiagnosticForOutOfRange
   Входной параметр

Диагностика для входа из области значений

{'None'} | 'Warning' | 'Error'

   RemoveProtectionInput

Удалите защиту от входа из области значений в сгенерированном коде

{'off'} | 'on'

   IndexSearchMethod

Метод поиска по индексу

'Evenly spaced points' | 'Linear search' | {'Binary search'}

   BeginIndexSearchUsing
   PreviousIndexResult

Начните поиск по индексу с помощью предыдущего результата индекса

{'off'} | 'on'

   UseOneInputPortForAll
   InputData

Используйте один входной порт для всех входных данных

{'off'} | 'on'

   SupportTunableTableSize

Поддержите настраиваемый табличный размер в генерации кода

{'off'} | 'on'

   MaximumIndicesForEach
   Размерность

Максимальные индексы для каждой размерности

{'[]'}

   TableDataTypeStr

Табличные данные> Тип данных

'Inherit: Inherit from 'Table data'' | {'Inherit: Same as output'} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16)' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   TableMin

Табличные данные> Минимум

{'[]'}

   TableMax

Табличные данные> Максимум

{'[]'}

   BreakpointsForDimension1
   DataTypeStr

Точки останова 1> тип данных

{'Inherit: Same as corresponding input'} | 'Inherit: Inherit from 'Breakpoint data'' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16)' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   BreakpointsForDimension1
   Min

Точки останова 1> минимум

{'[]'}

   BreakpointsForDimension1
   Max

Точки останова 1> максимум

{'[]'}

   BreakpointsForDimension2
   DataTypeStr

Точки останова 2> тип данных

{'Inherit: Same as corresponding input'} | 'Inherit: Inherit from 'Breakpoint data'' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16)' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   BreakpointsForDimension2
   Min

Точки останова 2> минимум

{'[]'}

   BreakpointsForDimension2
   Max

Точки останова 2> максимум

{'[]'}

   ...

......

   BreakpointsForDimension30
   DataTypeStr

Точки останова 30> Тип данных

{'Inherit: Same as corresponding input'} | 'Inherit: Inherit from 'Breakpoint data'' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16)' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   BreakpointsForDimension30
   Min

Точки останова 30> Минимум

{'[]'}

   BreakpointsForDimension30
   Max

Точки останова 30> Максимум

{'[]'}

   FractionDataTypeStr

Часть> Тип данных

{'Inherit: Inherit via internal rule'} | 'double' | 'single' | 'fixdt(1,16,0)'

   IntermediateResults
   DataTypeStr

Промежуточные результаты> Тип данных

'Inherit: Inherit via internal rule' | {'Inherit: Same as output'} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   OutDataTypeStr

Выведите> Тип данных

'Inherit: Inherit via back propagation' | 'Inherit: Inherit from table data' | {'Inherit: Same as first input'} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   OutMin

Выведите> Минимум

{'[]'}

   OutMax

Выведите> Максимум

{'[]'}

   InternalRulePriority

Внутренний приоритет правила

{'Speed'} | 'Precision'

   InputSameDT

Потребуйте, чтобы все входные параметры имели совпадающий тип данных

'off' | {'on'}

   LockScale

Заблокируйте настройки типа данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | 'Floor' | 'Nearest' | 'Round' | {'Simplest'} | 'Zero'

   SaturateOnInteger
   Переполнение

Насыщайте на целочисленном переполнении

{'off'} | 'on'

   ProcessOutOfRangeInput

Удержанный от использования в R2009b

 

Динамическая интерполяционная таблица (Lookup Table Dynamic) (подсистема маскированная)

   LookUpMeth

Метод поиска

'Interpolation-Extrapolation' | {'Interpolation-Use End Values'} | 'Use Input Nearest' | 'Use Input Below' | 'Use Input Above'

   OutDataTypeStr

Тип выходных данных

{'fixdt('double')'} | 'Inherit: Inherit via back propagation' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'boolean' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   OutputDataTypeScaling
   Режим

Удержанный от использования в R2007b

 

   OutDataType

Удержанный от использования в R2007b

 

   OutScaling

Удержанный от использования в R2007b

 

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round'| 'Simplest' | 'Zero'

   DoSatur

Насыщайте к макс. или min, когда переполнение произойдет

{'off'} | 'on'

Предварительный поиск (PreLookup)

   BreakpointsSpecification

Спецификация

{'Explicit values'} | 'Even spacing' | 'Breakpoint object'

Устанавливать этот параметр от 'Explicit values' или 'Even spacing' к 'Breakpoint object', используйте тот же вызов set_param устанавливать параметр BreakpointObject. Например:

set_param('myModel/myPrelookupBlock',...
'BreakpointsSpecification',...
'Breakpoint object',...
'BreakpointObject','myBPObject')

   BreakpointObject

Имя объекта точки останова

{''}

   BreakpointsFirstPoint

Первая точка

{'10'}

   BreakpointsSpacing

Разрядка

{'10'}

   BreakpointsNumPoints

Число точек

{'11'}

   BreakpointsData

Значение

{'[10:10:110]'}

   BreakpointsDataSource

Источник

{'Dialog'} | 'Input port'

   IndexSearchMethod

Метод поиска по индексу

'Evenly spaced points' | 'Linear search' | {'Binary search'}

   BeginIndexSearchUsing
   PreviousIndexResult

Начните поиск по индексу с помощью предыдущего результата индекса

{'off'} | 'on'

   OutputOnlyTheIndex

Выведите только индекс

{'off'} | 'on'

   ExtrapMethod

ExtrapolationMethod

'Clip' | {'Linear'}

   UseLastBreakpoint

Использование в последний раз устанавливает точки останова для входа в или выше верхнего предела

{'off'} | 'on'

   DiagnosticForOutOfRange
   Входной параметр

Диагностика для входа из области значений

{'None'} | 'Warning' | 'Error'

   RemoveProtectionInput

Удалите защиту от входа из области значений в сгенерированном коде

{'off'} | 'on'

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   BreakpointDataTypeStr

Установите точки останова> Тип данных

{'Inherit: Same as input'} | 'Inherit: Inherit from 'Breakpoint data'' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16)' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   BreakpointMin

Установите точки останова> Минимум

{'[]'}

   BreakpointMax

Установите точки останова> Максимум

{'[]'}

   IndexDataTypeStr

Индексируйте> Тип данных

'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | {'uint32'} | 'fixdt(1,16)'

   FractionDataTypeStr

Часть> Тип данных

{'Inherit: Inherit via internal rule'} | 'double' | 'single' | 'fixdt(1,16,0)'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   ProcessOutOfRangeInput

Удержанный от использования в R2011a

 

Синус (Sine) (подсистема маскированная)

   Formula

Выведите формулу

{'sin(2*pi*u)'} | 'cos(2*pi*u)' | 'exp(j*2*pi*u)' | 'sin(2*pi*u) and cos(2*pi*u)'

   NumDataPoints

Количество точек данных для интерполяционной таблицы

{'(2^5)+1'}

   OutputWordLength

Выведите размер слова

{'16'}

   InternalRulePriority

Внутренний приоритет правила для интерполяционной таблицы

{'Speed'} | 'Precision'

Параметры библиотечного блока математических операций

Блокируйте (Тип) / ПараметрПодсказка диалогового окнаЗначения

Abs (Abs)

   ZeroCross

Включите обнаружение пересечения нулем

'off' | {'on'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

'Inherit: Inherit via internal rule' | 'Inherit: Inherit via back propagation' | {'Inherit: Same as input'} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   SaturateOnInteger
   Переполнение

Насыщайте на целочисленном переполнении

{'off'} | 'on'

Добавьте (Sum)

   IconShape

Форма значка

{'rectangular'} | 'round'

   Inputs

Список знаков

{'++'}

   CollapseMode

Суммируйте

{'All dimensions'} | 'Specified dimension'

   CollapseDim

Размерность

{'1'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   InputSameDT

Потребуйте, чтобы все входные параметры имели совпадающий тип данных

{'off'} | 'on'

   AccumDataTypeStr

Тип данных аккумулятора

{'Inherit: Inherit via internal rule'} | 'Inherit: Same as first input' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

{'Inherit: Inherit via internal rule'} | 'Inherit: Inherit via back propagation' | 'Inherit: Same as first input' | 'Inherit: Same as accumulator' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   LockScale

Заблокируйте настройки типа данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   SaturateOnInteger
  Переполнение

Насыщайте на целочисленном переполнении

{'off'} | 'on'

Алгебраическое ограничение (Algebraic Constraint)

  Constraint

Ограничение на входной сигнал

{'f(z) = 0'} | 'f(z) = z'

  Solver

Алгебраический решатель цикла

{'auto'} | 'Trust Region' | 'Line Search'

  Tolerance

Допуск решателя

{'auto'}

   InitialGuess

Исходное предположение

{'0'}

Присвоение (Assignment)

   NumberOfDimensions

Количество выходных размерностей

{'1'}

   IndexMode

Режим индексации

'Zero-based' | {'One-based'}

   OutputInitialize

Инициализируйте выход (Y)

{'Initialize using input port <Y0>'} | 'Specify size for each dimension in table'

   IndexOptionArray

Индексируйте опцию

'Assign all' | {'Index vector (dialog)'} | 'Index vector (port)' | 'Starting index (dialog)' | 'Starting index (port)'

   IndexParamArray

Индекс

cellArray

   OutputSizeArray

'OutputSize'

cellArray

   DiagnosticForDimensions

Действие, если какой-либо выходной элемент не присвоен

'Error' | 'Warning' | {'None'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   IndexOptions

Смотрите параметр IndexOptionArray для получения дополнительной информации.

 

   Indices

Смотрите параметр IndexParamArray для получения дополнительной информации.

 

   OutputSizes

Смотрите параметр OutputSizeArray для получения дополнительной информации.

 

Смещение (Bias)

   Bias

Смещение

{'0.0'}

   SaturateOnInteger
   Переполнение

Насыщайте на целочисленном переполнении

{'off'} | 'on'

Комплекс к углу величины (ComplexToMagnitudeAngle)

   Output

Вывод

'Magnitude' | 'Angle' | {'Magnitude and angle'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

Комплекс к действительному-Imag (ComplexToRealImag)

   Output

Вывод

'Real' | 'Imag' | {'Real and imag'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

Разделитесь (Product)

   Inputs

Количество входных параметров

{'*/'}

   Multiplication

Умножение

{'Element-wise(.*)'} | 'Matrix(*)'

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   InputSameDT

Потребуйте, чтобы все входные параметры имели совпадающий тип данных

{'off'} | 'on'

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

{'Inherit: Inherit via internal rule'} | 'Inherit: Inherit via back propagation' | 'Inherit: Same as first input' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   SaturateOnInteger
   Переполнение

Насыщайте на целочисленном переполнении

{'off'} | 'on'

Скалярное произведение (DotProduct)

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   InputSameDT

Потребуйте, чтобы все входные параметры имели совпадающий тип данных

'off' | {'on'}

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

{'Inherit: Inherit via internal rule'} | 'Inherit: Inherit via back propagation' | 'Inherit: Same as first input' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   OutputDataTypeScaling
  Режим

Удержанный от использования в R2007b

 

   OutDataType

Удержанный от использования в R2007b

 

   OutScaling

Удержанный от использования в R2007b

 

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   SaturateOnInteger
   Переполнение

Насыщайте на целочисленном переполнении

{'off'} | 'on'

Найдите (Find)

   IndexOutputFormat

Индексируйте выходной формат

{'Linear indices'} | 'Subscripts'

   NumberOfInputDimensions

Количество входных размерностей

целое число — {'1'}

   IndexMode

Режим индексации

{'Zero-based'} | 'One-based'

   ShowOutputForNonzero
InputValues

Покажите выходной порт для ненулевых входных значений

{'off'} | 'on'

   SampleTime

Шаг расчета (–1 для наследованного)

{'-1'}

   OutDataTypeStr

Тип выходных данных

{'Inherit: Inherit via internal rule'} | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16)'

Усиление (Gain)

   Gain

Усиление

{'1'}

   Multiplication

Умножение

{'Element-wise(K.*u)'} | 'Matrix(K*u)' | 'Matrix(u*K)' | 'Matrix(K*u) (u vector)'

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

{'Inherit: Inherit via internal rule'} | 'Inherit: Inherit via back propagation' | 'Inherit: Same as input' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   SaturateOnInteger
   Переполнение

Насыщайте на целочисленном переполнении

{'off'} | 'on'

   ParamMin

Минимум параметра

{'[]'}

   ParamMax

Максимум параметра

{'[]'}

   ParamDataTypeStr

Тип данных параметров

{'Inherit: Inherit via internal rule'} | 'Inherit: Same as input' | 'Inherit: Inherit from 'Gain'' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16)' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

Угол величины, чтобы объединить (MagnitudeAngleToComplex)

   Input

Входной параметр

'Magnitude' | 'Angle' | {'Magnitude and angle'}

   ConstantPart

Величина или угол

{'0'}

   ApproximationMethod

Метод приближения

{'None'} | 'CORDIC'

   NumberOfIterations

Количество итераций

{'11'}

ScaleReciprocalGainFactor

Шкала выводится обратной величиной фактора усиления

'off' | {'on'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

Математическая функция (Math)

   Operator

Функция

{'exp'} | 'log' | '10^u' | 'log10' | 'magnitude^2' | 'square' | 'pow' | 'conj' | 'reciprocal' | 'hypot' | 'rem' | 'mod' | 'transpose' | 'hermitian'

   OutputSignalType

Тип выходного сигнала

{'auto'} | 'real' | 'complex'

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

'Inherit: Inherit via internal rule' | 'Inherit: Inherit via back propagation' | {'Inherit: Same as first input'} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   SaturateOnInteger
   Переполнение

Насыщайте на целочисленном переполнении

'off' | {'on'}

Матричная конкатенация (Concatenate)

   NumInputs

Количество входных параметров

{'2'}

   Mode

Режим

'Vector' | {'Multidimensional array'}

   ConcatenateDimension

Конкатенация размерности

{'2'}

MinMax (MinMax)

   Function

Функция

{'min'} | 'max'

   Inputs

Количество входных портов

{'1'}

   ZeroCross

Включите обнаружение пересечения нулем

'off' | {'on'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   InputSameDT

Потребуйте, чтобы все входные параметры имели совпадающий тип данных

{'off'} | 'on'

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

{'Inherit: Inherit via internal rule'} | 'Inherit: Inherit via back propagation' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   SaturateOnInteger
  Переполнение

Насыщайте на целочисленном переполнении

{'off'} | 'on'

MinMax, запускающийся восстановленный (MinMax Running Resettable) (подсистема маскированная)

   Function

Функция

{'min'} | 'max'

   vinit

Начальное условие

{'0.0'}

Переставьте размерности (PermuteDimensions)

   Order

Порядок

{'[2,1]'}

Полином (Polyval)

   coefs

Полиномиальные коэффициенты

{'[ +2.081618890e-019, -1.441693666e-014, +4.719686976e-010, -8.536869453e-006, +1.621573104e-001, -8.087801117e+001 ]'}

Продукт (Product)

   Inputs

Количество входных параметров

{'2'}

   Multiplication

Умножение

{'Element-wise(.*)'} | 'Matrix(*)'

   CollapseMode

Умножьтесь

{'All dimensions'} | 'Specified dimension'

   CollapseDim

Размерность

{'1'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   InputSameDT

Потребуйте, чтобы все входные параметры имели совпадающий тип данных

{'off'} | 'on'

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

{'Inherit: Inherit via internal rule'} | 'Inherit: Inherit via back propagation' | 'Inherit: Same as first input' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | 'Floor' | 'Nearest' | 'Round' | 'Simplest' | {'Zero'}

   SaturateOnInteger
   Переполнение

Насыщайте на целочисленном переполнении

{'off'} | 'on'

Продукт элементов (Product)

   Inputs

Количество входных параметров

{'*'}

   Multiplication

Умножение

{'Element-wise(.*)'} | 'Matrix(*)'

   CollapseMode

Умножьтесь

{'All dimensions'} | 'Specified dimension'

   CollapseDim

Размерность

{'1'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   InputSameDT

Потребуйте, чтобы все входные параметры имели совпадающий тип данных

{'off'} | 'on'

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

{'Inherit: Inherit via internal rule'} | 'Inherit: Inherit via back propagation' | 'Inherit: Same as first input' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   SaturateOnInteger
   Переполнение

Насыщайте на целочисленном переполнении

{'off'} | 'on'

Действительный-Imag, чтобы объединить (RealImagToComplex)

   Input

Входной параметр

'Real' | 'Imag' | {'Real and imag'}

   ConstantPart

Real part или часть Imag

{'0'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

Взаимный Sqrt (Sqrt)

   Operator

Функция

'sqrt' | 'signedSqrt' | {'rSqrt'}

   OutputSignalType

Тип выходного сигнала

{'auto'} | 'real' | 'complex'

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

'Inherit: Inherit via internal rule' | 'Inherit: Inherit via back propagation' | {'Inherit: Same as first input'} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   SaturateOnInteger
   Переполнение

Насыщайте на целочисленном переполнении

'off' | {'on'}

   IntermediateResults
   DataTypeStr

Промежуточный тип данных результатов

{'Inherit: Inherit via internal rule'} | 'Inherit: Inherit from input' | 'Inherit: Inherit from output' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   AlgorithmType

Метод

'Exact' | {'Newton-Raphson'}

   Iterations

Количество итераций

{'3'}

Изменитесь (Reshape)

   OutputDimensionality

Выведите размерность

{'1-D array'} | 'Column vector (2-D)' | 'Row vector (2-D)' | 'Customize' | 'Derive from reference input port'

   OutputDimensions

Выведите размерности

{'[1,1]'}

Функция округления (Rounding)

   Operator

Функция

{'floor'} | 'ceil' | 'round' | 'fix'

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

Знак (Signum)

   ZeroCross

Включите обнаружение пересечения нулем

'off' | {'on'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

Подписанный Sqrt (Sqrt)

   Operator

Функция

'sqrt' | {'signedSqrt'} | 'rSqrt'

   OutputSignalType

Тип выходного сигнала

{'auto'} | 'real' | 'complex'

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

'Inherit: Inherit via internal rule' | 'Inherit: Inherit via back propagation' | {'Inherit: Same as first input'} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   SaturateOnInteger
   Переполнение

Насыщайте на целочисленном переполнении

'off' | {'on'}

   IntermediateResults
   DataTypeStr

Промежуточный тип данных результатов

{'Inherit: Inherit via internal rule'} | 'Inherit: Inherit from input' | 'Inherit: Inherit from output' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   AlgorithmType

Метод

{'Exact'} | 'Newton-Raphson'

   Iterations

Количество итераций

{'3'}

Функция Sine wave (Sin)

   SineType

Тип синуса

{'Time based'} | 'Sample based'

   TimeSource

Время

'Use simulation time' | {'Use external signal'}

   Amplitude

Амплитуда

{'1'}

   Bias

Смещение

{'0'}

   Frequency

Частота

{'1'}

   Phase

Фаза

{'0'}

   Samples

Выборки на период

{'10'}

   Offset

Количество выборок смещения

{'0'}

   SampleTime

Размер шага

{'0'}

   VectorParams1D

Интерпретируйте векторные параметры как 1D

'off' | {'on'}

Усиление ползунка (Slider Gain) (подсистема маскированная)

   low

Низко

{'0'}

   gain

Усиление

{'1'}

   high

Высоко

{'2'}

Sqrt (Sqrt)

   Operator

Функция

{'sqrt'} | 'signedSqrt' | 'rSqrt'

   OutputSignalType

Тип выходного сигнала

{'auto'} | 'real' | 'complex'

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

'Inherit: Inherit via internal rule' | 'Inherit: Inherit via back propagation' | {'Inherit: Same as first input'} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   SaturateOnInteger
   Переполнение

Насыщайте на целочисленном переполнении

'off' | {'on'}

   IntermediateResults
   DataTypeStr

Промежуточный тип данных результатов

{'Inherit: Inherit via internal rule'} | 'Inherit: Inherit from input' | 'Inherit: Inherit from output' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   AlgorithmType

Метод

{'Exact'} | 'Newton-Raphson'

   Iterations

Количество итераций

{'3'}

Squeeze (Squeeze) (подсистема маскированная)

   'none'

'none'

'none'

Вычтите (Sum)

   IconShape

Форма значка

{'rectangular'} | 'round'

   Inputs

Список знаков

{'+-'}

   CollapseMode

Суммируйте

{'All dimensions'} | 'Specified dimension'

   CollapseDim

Размерность

{'1'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   InputSameDT

Потребуйте, чтобы все входные параметры имели совпадающий тип данных

{'off'} | 'on'

   AccumDataTypeStr

Тип данных аккумулятора

{'Inherit: Inherit via internal rule'} | 'Inherit: Same as first input' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

{'Inherit: Inherit via internal rule'} | 'Inherit: Inherit via back propagation' | 'Inherit: Same as first input' | 'Inherit: Same as accumulator' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   LockScale

Заблокируйте настройки типа данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   SaturateOnInteger
  Переполнение

Насыщайте на целочисленном переполнении

{'off'} | 'on'

Сумма (Sum)

   IconShape

Форма значка

'rectangular' | {'round'}

   Inputs

Список знаков

{'|++'}

   CollapseMode

Суммируйте

{'All dimensions'} | 'Specified dimension'

   CollapseDim

Размерность

{'1'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   InputSameDT

Потребуйте, чтобы все входные параметры имели совпадающий тип данных

{'off'} | 'on'

   AccumDataTypeStr

Тип данных аккумулятора

{'Inherit: Inherit via internal rule'} | 'Inherit: Same as first input' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

{'Inherit: Inherit via internal rule'} | 'Inherit: Inherit via back propagation' | 'Inherit: Same as first input' | 'Inherit: Same as accumulator' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   LockScale

Заблокируйте настройки типа данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   SaturateOnInteger
  Переполнение

Насыщайте на целочисленном переполнении

{'off'} | 'on'

Сумма элементов (Sum)

   IconShape

Форма значка

{'rectangular'} | 'round'

   Inputs

Список знаков

{'+'}

   CollapseMode

Суммируйте

{'All dimensions'} | 'Specified dimension'

   CollapseDim

Размерность

{'1'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   InputSameDT

Потребуйте, чтобы все входные параметры имели совпадающий тип данных

{'off'} | 'on'

   AccumDataTypeStr

Тип данных аккумулятора

{'Inherit: Inherit via internal rule'} | 'Inherit: Same as first input' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

{'Inherit: Inherit via internal rule'} | 'Inherit: Inherit via back propagation' | 'Inherit: Same as first input' | 'Inherit: Same as accumulator' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   LockScale

Заблокируйте настройки типа данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   SaturateOnInteger
  Переполнение

Насыщайте на целочисленном переполнении

{'off'} | 'on'

Тригонометрическая функция (Trigonometry)

   Operator

Функция

{'sin'} | 'cos' | 'tan' | 'asin' | 'acos' | 'atan' | 'atan2' | 'sinh' | 'cosh' | 'tanh' | 'asinh' | 'acosh' | 'atanh' | 'sincos' | 'cos + jsin'

   ApproximationMethod

Метод приближения

{'None'} | 'CORDIC'

   NumberOfIterations

Количество итераций

{'11'}

   OutputSignalType

Тип выходного сигнала

{'auto'} | 'real' | 'complex'

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

Унарный минус (UnaryMinus)

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   SaturateOnInteger
  Переполнение

Насыщайте на целочисленном переполнении

{'off'} | 'on'

Векторная конкатенация (Concatenate)

   NumInputs

Количество входных параметров

{'2'}

   Mode

Режим

{'Vector'} | 'Multidimensional array'

Взвешенная математика шага расчета (SampleTimeMath)

   TsampMathOp

Операция

{'+'} | '-' | '*' | '/' | 'Ts Only' | '1/Ts Only'

   weightValue

Значение веса

{'1.0'}

   TsampMathImp

Реализуйте использование

{'Online Calculations'} | 'Offline Scaling Adjustment'

   OutDataTypeStr

Тип выходных данных

{'Inherit via internal rule'} | 'Inherit via back propagation'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   SaturateOnInteger
  Переполнение

Насыщайте на целочисленном переполнении

{'off'} | 'on'

   OutputDataTypeScaling
   Режим

Удержанный от использования в R2009b

 

   DoSatur

Удержанный от использования в R2009b

 

Параметры библиотечного блока Model Verification

Блокируйте (Тип) / ПараметрПодсказка диалогового окнаЗначения

Утверждение (Assertion)

   Enabled

Включите утверждение

'off' | {'on'}

   AssertionFailFcn

Коллбэк симуляции, когда утверждение перестало работать

{''}

   StopWhenAssertionFail

Остановите симуляцию, когда утверждение перестанет работать

'off' | {'on'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

Проверяйте динамический разрыв (Checks_DGap) (подсистема маскированная)

   enabled

Включите утверждение

'off' | {'on'}

   callback

Коллбэк симуляции, когда утверждение перестало работать (дополнительный)

{''}

   stopWhenAssertionFail

Остановите симуляцию, когда утверждение перестанет работать

'off' | {'on'}

   export

Выведите сигнал утверждения

{'off'} | 'on'

   icon

Выберите тип значков

{'graphic'} | 'text'

Проверяйте динамический диапазон (Checks_DRange) (подсистема маскированная)

   enabled

Включите утверждение

'off' | {'on'}

   callback

Коллбэк симуляции, когда утверждение перестало работать (дополнительный)

{''}

   stopWhenAssertionFail

Остановите симуляцию, когда утверждение перестанет работать

'off' | {'on'}

   export

Выведите сигнал утверждения

{'off'} | 'on'

   icon

Выберите тип значков

{'graphic'} | 'text'

Проверяйте статический разрыв (Checks_SGap) (подсистема маскированная)

   max

Верхняя граница

{'100'}

   max_included

Содержащая верхняя граница

'off' | {'on'}

   min

Нижняя граница

{'0'}

   min_included

Содержащая нижняя граница

'off' | {'on'}

   enabled

Включите утверждение

'off' | {'on'}

   callback

Коллбэк симуляции, когда утверждение перестало работать (дополнительный)

{''}

   stopWhenAssertionFail

Остановите симуляцию, когда утверждение перестанет работать

'off' | {'on'}

   export

Выведите сигнал утверждения

{'off'} | 'on'

   icon

Выберите тип значков

{'graphic'} | 'text'

Проверяйте статическую область значений (Checks_SRange) (подсистема маскированная)

   max

Верхняя граница

{'100'}

   max_included

Содержащая верхняя граница

'off' | {'on'}

   min

Нижняя граница

{'0'}

   min_included

Содержащая нижняя граница

'off' | {'on'}

   enabled

Включите утверждение

'off' | {'on'}

   callback

Коллбэк симуляции, когда утверждение перестало работать (дополнительный)

{''}

   stopWhenAssertionFail

Остановите симуляцию, когда утверждение перестанет работать

'off' | {'on'}

   export

Выведите сигнал утверждения

{'off'} | 'on'

   icon

Выберите тип значков

{'graphic'} | 'text'

Проверяйте дискретный градиент (Checks_Gradient) (подсистема маскированная)

   gradient

Максимальный градиент

{'1'}

   enabled

Включите утверждение

'off' | {'on'}

   callback

Коллбэк симуляции, когда утверждение перестало работать (дополнительный)

{''}

   stopWhenAssertionFail

Остановите симуляцию, когда утверждение перестанет работать

'off' | {'on'}

   export

Выведите сигнал утверждения

{'off'} | 'on'

   icon

Выберите тип значков

{'graphic'} | 'text'

Проверяйте динамическую нижнюю границу (Checks_DMin) (подсистема маскированная)

   Enabled

Включите утверждение

'off' | {'on'}

   callback

Коллбэк симуляции, когда утверждение перестало работать (дополнительный)

{''}

   stopWhenAssertionFail

Остановите симуляцию, когда утверждение перестанет работать

'off' | {'on'}

   export

Выведите сигнал утверждения

{'off'} | 'on'

   icon

Выберите тип значков

{'graphic'} | 'text'

Проверяйте динамическую верхнюю границу (Checks_DMax) (подсистема маскированная)

   enabled

Включите утверждение

'off' | {'on'}

   callback

Коллбэк симуляции, когда утверждение перестало работать (дополнительный)

{''}

   stopWhenAssertionFail

Остановите симуляцию, когда утверждение перестанет работать

'off' | {'on'}

   export

Выведите сигнал утверждения

{'off'} | 'on'

   icon

Выберите тип значков

{'graphic'} | 'text'

Проверяйте разрешение сканирования (Checks_Resolution) (подсистема маскированная)

   resolution

Разрешение

{'1'}

   enabled

Включите утверждение

'off' | {'on'}

   callback

Коллбэк симуляции, когда утверждение перестало работать (дополнительный)

{''}

   stopWhenAssertionFail

Остановите симуляцию, когда утверждение перестанет работать

'off' | {'on'}

   export

Выведите сигнал утверждения

{'off'} | 'on'

Проверяйте статическую нижнюю границу (Checks_SMin) (подсистема маскированная)

   min

Нижняя граница

{'0'}

   min_included

Содержащий контур

'off' | {'on'}

   enabled

Включите утверждение

'off' | {'on'}

   callback

Коллбэк симуляции, когда утверждение перестало работать (дополнительный)

{''}

   stopWhenAssertionFail

Остановите симуляцию, когда утверждение перестанет работать

'off' | {'on'}

   export

Выведите сигнал утверждения

{'off'} | 'on'

   icon

Выберите тип значков

{'graphic'} | 'text'

Проверяйте статическую верхнюю границу (Checks_SMax) (подсистема маскированная)

   max

Верхняя граница

{'0'}

   max_included

Содержащий контур

'off' | {'on'}

   enabled

Включите утверждение

'off' | {'on'}

   callback

Коллбэк симуляции, когда утверждение перестало работать (дополнительный)

{''}

   stopWhenAssertionFail

Остановите симуляцию, когда утверждение перестанет работать

'off' | {'on'}

   export

Выведите сигнал утверждения

{'off'} | 'on'

   icon

Выберите тип значков

{'graphic'} | 'text'

Сервисные параметры библиотечного блока всей модели

Блокируйте (Тип) / ПараметрПодсказка диалогового окнаЗначения

Block Support Table (Block Support Table) (подсистема маскированная)

DocBlock (DocBlock) (подсистема маскированная)

   ECoderFlag

Embedded Coder® Флаг

{''}

   DocumentType

Тип документа

{'Text'} | 'RTF' | 'HTML'

Model Info (CMBlock) (подсистема маскированная)

   InitialSaveTempField

InitialSaveTempField

{''}

   InitialBlockCM

InitialBlockCM

{'None'}

   BlockCM

BlockCM

{'None'}

   Frame

Система координат блока Show

'off' | {'on'}

   SaveTempField

SaveTempField

{''}

   DisplayStringWithTags

DisplayStringWithTags

{'Model Info'}

   MaskDisplayString

MaskDisplayString

{'Model Info'}

   HorizontalTextAlignment

Выравнивание горизонтального текста

{'Center'}

   LeftAlignmentValue

LeftAlignmentValue

{'0.5'}

   SourceBlockDiagram

SourceBlockDiagram

{'untitled'}

   TagMaxNumber

TagMaxNumber

{'20'}

   CMTag1

CMTag1

{''}

   CMTag2

CMTag2

{''}

   CMTag3

CMTag3

{''}

   CMTag4

CMTag4

{''}

   CMTag5

CMTag5

{''}

   CMTag6

CMTag6

{''}

   CMTag7

CMTag7

{''}

   CMTag8

CMTag8

{''}

   CMTag9

CMTag9

{''}

   CMTag10

CMTag10

{''}

   CMTag11

CMTag11

{''}

   CMTag12

CMTag12

{''}

   CMTag13

CMTag13

{''}

   CMTag14

CMTag14

{''}

   CMTag15

CMTag15

{''}

   CMTag16

CMTag16

{''}

   CMTag17

CMTag17

{''}

   CMTag18

CMTag18

{''}

   CMTag19

CMTag19

{''}

   CMTag20

CMTag20

{''}

Синхронизированная линеаризация (Timed Linearization) (подсистема маскированная)

   LinearizationTime

Время линеаризации

{'1'}

   SampleTime

Шаг расчета (линеаризовавшей модели)

{'0'}

Основанная на триггере линеаризация (Triggered Linearization) (подсистема маскированная)

   TriggerType

Инициируйте тип

{'rising'} | 'falling' | 'either' | 'function-call'

   SampleTime

Шаг расчета (линеаризовавшей модели)

{'0'}

Ports & Subsystems Library Block Parameters

Блокируйте (Тип) / Параметр

Подсказка диалогового окна

Значения

Порт действия (ActionPort)

   InitializeStates

Состояния, когда выполнение возобновляется

{'held'} | 'reset'

   PropagateVarSize

Распространите размеры сигналов переменного размера

{'Only when execution is resumed'} | 'During execution'

Атомарная подсистема (SubSystem)

   ShowPortLabels

Покажите метки порта

Примечание

Значения 'off' и 'on' для обратной совместимости только и не должен использоваться в новых моделях или при обновлении существующих моделей.

'none' | {'FromPortIcon'} | 'FromPortBlockName' | 'SignalName' | 'off' | 'on'

   BlockChoice

Блокируйте выбор

{''}

   TemplateBlock

Шаблон блока

{''}

   MemberBlocks

Блоки члена

{''}

   Permissions

Полномочия чтения-записи

{'ReadWrite'} | 'ReadOnly' | 'NoReadOrWrite'

   ErrorFcn

Имя ошибочной функции обратного вызова

{''}

   PermitHierarchical
   Разрешение

Разрешите иерархическое разрешение

{'All'} | 'ExplicitOnly' | 'None'

   TreatAsAtomicUnit

Обработайте как атомарный модуль

'off' | {'on'}

   TreatAsGroupedWhenPropagatingVariantConditions

Обработка, как сгруппировано при распространении различных условий

'off' | {'on'}

   MinAlgLoopOccurrences

Минимизируйте алгебраические случаи цикла

{'off'} | 'on'

   CheckFcnCallInp
   InsideContextMsg

Предупредите, если входные параметры вызова функции являются зависящими от контекста

{'off'} | 'on'

   SystemSampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   RTWSystemCode

Упаковка функций

{'Auto'} | 'Inline' | 'Nonreusable function' | 'Reusable function'

   RTWFcnNameOpts

Опции имени функции

{'Auto'} | 'Use subsystem name' | 'User specified'

   RTWFcnName

FunctionName

{''}

   RTWFileNameOpts

Опции имени файла

{'Auto'} | 'Use subsystem name' | 'Use function name' | 'User specified'

   RTWFileName

Имя файла (никакое расширение)

{''}

   FunctionInterfaceSpec

Функциональный интерфейс

Этот параметр требует лицензии на программное обеспечение Embedded Coder и основанный на ERT системный конечный файл.

{'void_void'} | 'Allow arguments (Optimized)' | 'Allow arguments (Match graphical interface)'

   FunctionWithSeparateData

Функция с отдельными данными

Этот параметр требует лицензии на программное обеспечение Embedded Coder и основанный на ERT системный конечный файл.

{'off'} | 'on'

   RTWMemSecFuncInitTerm

Раздел Memory для инициализирует/отключает функции

Этот параметр требует лицензии на программное обеспечение Embedded Coder и основанный на ERT системный конечный файл.

{'Inherit from model'} | 'Default' | список памяти разделяет от пакета модели

   RTWMemSecFuncExecute

Раздел Memory для функций выполнения

Этот параметр требует лицензии на программное обеспечение Embedded Coder и основанный на ERT системный конечный файл.

{'Inherit from model'} | 'Default' | список памяти разделяет от пакета модели

   RTWMemSecDataConstants

Раздел Memory для констант

Этот параметр требует лицензии на программное обеспечение Embedded Coder и основанный на ERT системный конечный файл.

{'Inherit from model'} | 'Default' | список памяти разделяет от пакета модели

   RTWMemSecDataInternal

Раздел Memory для внутренних данных

Этот параметр требует лицензии на программное обеспечение Embedded Coder и основанный на ERT системный конечный файл.

{'Inherit from model'} | 'Default' | список памяти разделяет от пакета модели

   RTWMemSecDataParameters

Раздел Memory для параметров

Этот параметр требует лицензии на программное обеспечение Embedded Coder и основанный на ERT системный конечный файл.

{'Inherit from model'} | 'Default' | список памяти разделяет от пакета модели

   DataTypeOverride

Никакая подсказка диалогового окна

Указывает, что тип данных раньше заменял типы данных с фиксированной точкой. Установите переопределением Типа данных на Fixed-Point Tool.

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

   MinMaxOverflowLogging

Никакая подсказка диалогового окна

Установка для инструментирования фиксированной точки. Установите Fixed-point instrumentation mode на Fixed-Point Tool.

{'UseLocalSettings'} | 'MinMaxAndOverflow' | 'OverflowOnly' | 'ForceOff'

   SimViewingDevice

Никакая подсказка диалогового окна

Если установлено в 'on', определяет блок как Подсистему Просмотра Сигнала — атомарная подсистема, которая инкапсулирует обработку и просмотр сигналов, полученных от целевой системы в Режиме external mode. Для получения дополнительной информации смотрите Сигнал Просмотреть Подсистемы (Simulink Coder).

{'off'} | 'on'

   IsSubsystemVirtual

 

boolean — {'off'} | 'on'

Только для чтения

Подсистема повторного использования кода (SubSystem)

   ShowPortLabels

Покажите метки порта

Примечание

Значения 'off' и 'on' для обратной совместимости только и не должен использоваться в новых моделях или при обновлении существующих моделей.

'none' | {'FromPortIcon'} | 'FromPortBlockName' | 'SignalName' | 'off' | 'on'

   BlockChoice

Блокируйте выбор

{''}

   TemplateBlock

Шаблон блока

{''}

   MemberBlocks

Блоки члена

{''}

   Permissions

Полномочия чтения-записи

{'ReadWrite'} | 'ReadOnly' | 'NoReadOrWrite'

   ErrorFcn

Имя ошибочной функции обратного вызова

{''}

   PermitHierarchical
   Разрешение

Разрешите иерархическое разрешение

{'All'} | 'ExplicitOnly' | 'None'

   TreatAsAtomicUnit

Обработайте как атомарный модуль

'off' | {'on'}

   MinAlgLoopOccurrences

Минимизируйте алгебраические случаи цикла

{'off'} | 'on'

   CheckFcnCallInp
   InsideContextMsg

Предупредите, если входные параметры вызова функции являются зависящими от контекста

{'off'} | 'on'

   SystemSampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   RTWSystemCode

Упаковка функций

'Auto' | 'Inline' | 'Nonreusable function' | {'Reusable function'}

   RTWFcnNameOpts

Опции имени функции

'Auto' | {'Use subsystem name'} | 'User specified'

   RTWFcnName

FunctionName

{''}

   RTWFileNameOpts

Опции имени файла

'Auto' | {'Use subsystem name'} | 'Use function name' | 'User specified'

   RTWFileName

Имя файла (никакое расширение)

{''}

   RTWMemSecFuncInitTerm

Раздел Memory для инициализирует/отключает функции

Этот параметр требует лицензии на программное обеспечение Embedded Coder и основанный на ERT системный конечный файл.

{'Inherit from model'} | 'Default' | список памяти разделяет от пакета модели

   RTWMemSecFuncExecute

Раздел Memory для функций выполнения

Этот параметр требует лицензии на программное обеспечение Embedded Coder и основанный на ERT системный конечный файл.

{'Inherit from model'} | 'Default' | список памяти разделяет от пакета модели

   RTWMemSecDataConstants

Раздел Memory для констант

Этот параметр требует лицензии на программное обеспечение Embedded Coder и основанный на ERT системный конечный файл.

{'Inherit from model'} | 'Default' | список памяти разделяет от пакета модели

   RTWMemSecDataInternal

Раздел Memory для внутренних данных

Этот параметр требует лицензии на программное обеспечение Embedded Coder и основанный на ERT системный конечный файл.

{'Inherit from model'} | 'Default' | список памяти разделяет от пакета модели

   RTWMemSecDataParameters

Раздел Memory для параметров

Этот параметр требует лицензии на программное обеспечение Embedded Coder и основанный на ERT системный конечный файл.

{'Inherit from model'} | 'Default' | список памяти разделяет от пакета модели

   DataTypeOverride

Никакая подсказка диалогового окна

Указывает, что тип данных раньше заменял типы данных с фиксированной точкой. Установите переопределением Типа данных на Fixed-Point Tool.

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

   MinMaxOverflowLogging

Никакая подсказка диалогового окна

Установка для инструментирования фиксированной точки. Установите Fixed-point instrumentation mode на Fixed-Point Tool.

{'UseLocalSettings'} | 'MinMaxAndOverflow' | 'OverflowOnly' | 'ForceOff'

   IsSubsystemVirtual

 

boolean — {'off'} | 'on'

Только для чтения

Конфигурируемая подсистема (SubSystem)

   ShowPortLabels

Покажите метки порта

Примечание

Значения 'off' и 'on' для обратной совместимости только и не должен использоваться в новых моделях или при обновлении существующих моделей.

'none' | {'FromPortIcon'} | 'FromPortBlockName' | 'SignalName' | 'off' | 'on'

   BlockChoice

Блокируйте выбор

{''}

   TemplateBlock

Шаблон блока

{'self'}

   MemberBlocks

Блоки члена

{''}

   Permissions

Полномочия чтения-записи

{'ReadWrite'} | 'ReadOnly' | 'NoReadOrWrite'

   ErrorFcn

Имя ошибочной функции обратного вызова

{''}

   PermitHierarchical
   Разрешение

Разрешите иерархическое разрешение

{'All'} | 'ExplicitOnly' | 'None'

   TreatAsAtomicUnit

Обработайте как атомарный модуль

{'off'} | 'on'

   MinAlgLoopOccurrences

Минимизируйте алгебраические случаи цикла

{'off'} | 'on'

   CheckFcnCallInp
   InsideContextMsg

Предупредите, если входные параметры вызова функции являются зависящими от контекста

{'off'} | 'on'

   SystemSampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   RTWSystemCode

Упаковка функций

{'Auto'} | 'Inline' | 'Nonreusable function' | 'Reusable function'

   RTWFcnNameOpts

Опции имени функции

{'Auto'} | 'Use subsystem name' | 'User specified'

   RTWFcnName

FunctionName

{''}

   RTWFileNameOpts

Опции имени файла

{'Auto'} | 'Use subsystem name' | 'Use function name' | 'User specified'

   RTWFileName

Имя файла (никакое расширение)

{''}

   DataTypeOverride

Никакая подсказка диалогового окна

Указывает, что тип данных раньше заменял типы данных с фиксированной точкой. Установите переопределением Типа данных на Fixed-Point Tool.

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

   MinMaxOverflowLogging

Никакая подсказка диалогового окна

Установка для инструментирования фиксированной точки. Установите Fixed-point instrumentation mode на Fixed-Point Tool.

{'UseLocalSettings'} | 'MinMaxAndOverflow' | 'OverflowOnly' | 'ForceOff'

   SimViewingDevice

Никакая подсказка диалогового окна

Если установлено в 'on', определяет блок как Подсистему Просмотра Сигнала — атомарная подсистема, которая инкапсулирует обработку и просмотр сигналов, полученных от целевой системы в Режиме external mode. Для получения дополнительной информации смотрите Сигнал Просмотреть Подсистемы (Simulink Coder).

{'off'} | 'on'

   DataTypeOverride

Никакая подсказка диалогового окна

Указывает, что тип данных раньше заменял типы данных с фиксированной точкой. Установите переопределением Типа данных на Fixed-Point Tool.

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

   MinMaxOverflowLogging

Никакая подсказка диалогового окна

Установка для инструментирования фиксированной точки. Установите Fixed-point instrumentation mode на Fixed-Point Tool.

{'UseLocalSettings'} | 'MinMaxAndOverflow' | 'OverflowOnly' | 'ForceOff'

   IsSubsystemVirtual

Никакая подсказка диалогового окна

boolean — {'on'} | 'off'

Только для чтения

Включите (EnablePort)

   StatesWhenEnabling

Состояния при включении

{'held'} | 'reset'

   PropagateVarSize

Распространите размеры сигналов переменного размера

{'Only when enabling'} | 'During execution'

   ShowOutputPort

Покажите выходной порт

{'off'} | 'on'

   ZeroCross

Включите обнаружение пересечения нулем

'off' | {'on'}

Enabled и Triggered подсистема (SubSystem)

   ShowPortLabels

Покажите метки порта

Примечание

Значения 'off' и 'on' для обратной совместимости только и не должен использоваться в новых моделях или при обновлении существующих моделей.

'none' | {'FromPortIcon'} | 'FromPortBlockName' | 'SignalName' | 'off' | 'on'

   BlockChoice

Блокируйте выбор

{''}

   TemplateBlock

Шаблон блока

{''}

   MemberBlocks

Блоки члена

{''}

   Permissions

Полномочия чтения-записи

{'ReadWrite'} | 'ReadOnly' | 'NoReadOrWrite'

   ErrorFcn

Имя ошибочной функции обратного вызова

{''}

   PermitHierarchical
   Разрешение

Разрешите иерархическое разрешение

{'All'} | 'ExplicitOnly' | 'None'

   TreatAsAtomicUnit

Обработайте как атомарный модуль

'off' | {'on'}

   MinAlgLoopOccurrences

Минимизируйте алгебраические случаи цикла

{'off'} | 'on'

   CheckFcnCallInp
   InsideContextMsg

Предупредите, если входные параметры вызова функции являются зависящими от контекста

{'off'} | 'on'

   SystemSampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   RTWSystemCode

Упаковка функций

{'Auto'} | 'Inline' | 'Nonreusable function' | 'Reusable function'

   RTWFcnNameOpts

Опции имени функции

{'Auto'} | 'Use subsystem name' | 'User specified'

   RTWFcnName

FunctionName

{''}

   RTWFileNameOpts

Опции имени файла

{'Auto'} | 'Use subsystem name' | 'Use function name' | 'User specified'

   RTWFileName

Имя файла (никакое расширение)

{''}

   DataTypeOverride

Никакая подсказка диалогового окна

Указывает, что тип данных раньше заменял типы данных с фиксированной точкой. Установите переопределением Типа данных на Fixed-Point Tool.

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

   MinMaxOverflowLogging

Никакая подсказка диалогового окна

Установка для инструментирования фиксированной точки. Установите Fixed-point instrumentation mode на Fixed-Point Tool.

{'UseLocalSettings'} | 'MinMaxAndOverflow' | 'OverflowOnly' | 'ForceOff'

   IsSubsystemVirtual

 

boolean — {'off'} | 'on'

Только для чтения

Enabled подсистема (SubSystem)

   ShowPortLabels

Покажите метки порта

Примечание

Значения 'off' и 'on' для обратной совместимости только и не должен использоваться в новых моделях или при обновлении существующих моделей.

'none' | {'FromPortIcon'} | 'FromPortBlockName' | 'SignalName' | 'off' | 'on'

   BlockChoice

Блокируйте выбор

{''}

   TemplateBlock

Шаблон блока

{''}

   MemberBlocks

Блоки члена

{''}

   Permissions

Полномочия чтения-записи

{'ReadWrite'} | 'ReadOnly' | 'NoReadOrWrite'

   ErrorFcn

Имя ошибочной функции обратного вызова

{''}

   PermitHierarchical
   Разрешение

Разрешите иерархическое разрешение

{'All'} | 'ExplicitOnly' | 'None'

   TreatAsAtomicUnit

Обработайте как атомарный модуль

'off' | {'on'}

   MinAlgLoopOccurrences

Минимизируйте алгебраические случаи цикла

{'off'} | 'on'

   CheckFcnCallInp
   InsideContextMsg

Предупредите, если входные параметры вызова функции являются зависящими от контекста

{'off'} | 'on'

   SystemSampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   RTWSystemCode

Упаковка функций

{'Auto'} | 'Inline' | 'Nonreusable function' | 'Reusable function'

   RTWFcnNameOpts

Опции имени функции

{'Auto'} | 'Use subsystem name' | 'User specified'

   RTWFcnName

FunctionName

{''}

   RTWFileNameOpts

Опции имени файла

{'Auto'} | 'Use subsystem name' | 'Use function name' | 'User specified'

   RTWFileName

Имя файла (никакое расширение)

{''}

   DataTypeOverride

Никакая подсказка диалогового окна

Указывает, что тип данных раньше заменял типы данных с фиксированной точкой. Установите переопределением Типа данных на Fixed-Point Tool.

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

   MinMaxOverflowLogging

Никакая подсказка диалогового окна

Установка для инструментирования фиксированной точки. Установите Fixed-point instrumentation mode на Fixed-Point Tool.

{'UseLocalSettings'} | 'MinMaxAndOverflow' | 'OverflowOnly' | 'ForceOff'

   IsSubsystemVirtual

 

boolean — {'off'} | 'on'

Только для чтения

Для каждого (ForEach)

   InputPartition

Раздел

массив ячеек из символьных векторов

   InputPartitionDimension

Размерность раздела для входного сигнала

массив ячеек из символьных векторов

   InputPartitionWidth

Ширина раздела для входного сигнала

массив ячеек из символьных векторов

   OutputConcatenationDimension

Размерность конкатенации выходного сигнала

массив ячеек из символьных векторов

Для итератора (ForIterator)

   ResetStates

Состояния при запуске

{'held'} | 'reset'

   IterationSource

Предельный источник итерации

{'internal'} | 'external'

   IterationLimit

Предел итерации

{'5'}

   ExternalIncrement

Установите затем i (переменная итерации) внешне

{'off'} | 'on'

   ShowIterationPort

Покажите переменную итерации

'off' | {'on'}

   IndexMode

Режим индексации

'Zero-based' | {'One-based'}

   IterationVariable
   Тип данных

Типы данных переменных итерации

{'int32'} | 'int16' | 'int8' | 'double'

Для подсистемы итератора (SubSystem)

   ShowPortLabels

Покажите метки порта

Примечание

Значения 'off' и 'on' для обратной совместимости только и не должен использоваться в новых моделях или при обновлении существующих моделей.

'none' | {'FromPortIcon'} | 'FromPortBlockName' | 'SignalName' | 'off' | 'on'

   BlockChoice

Блокируйте выбор

{''}

   TemplateBlock

Шаблон блока

{''}

   MemberBlocks

Блоки члена

{''}

   Permissions

Полномочия чтения-записи

{'ReadWrite'} | 'ReadOnly' | 'NoReadOrWrite'

   ErrorFcn

Имя ошибочной функции обратного вызова

{''}

   PermitHierarchical
   Разрешение

Разрешите иерархическое разрешение

{'All'} | 'ExplicitOnly' | 'None'

   TreatAsAtomicUnit

Обработайте как атомарный модуль

'off' | {'on'}

   MinAlgLoopOccurrences

Минимизируйте алгебраические случаи цикла

{'off'} | 'on'

   CheckFcnCallInp
   InsideContextMsg

Предупредите, если входные параметры вызова функции являются зависящими от контекста

{'off'} | 'on'

   SystemSampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   RTWSystemCode

Упаковка функций

{'Auto'} | 'Inline' | 'Nonreusable function' | 'Reusable function'

   RTWFcnNameOpts

Опции имени функции

{'Auto'} | 'Use subsystem name' | 'User specified'

   RTWFcnName

FunctionName

{''}

   RTWFileNameOpts

Опции имени файла

{'Auto'} | 'Use subsystem name' | 'Use function name' | 'User specified'

   RTWFileName

Имя файла (никакое расширение)

{''}

   DataTypeOverride

Никакая подсказка диалогового окна

Указывает, что тип данных раньше заменял типы данных с фиксированной точкой. Установите переопределением Типа данных на Fixed-Point Tool.

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

   MinMaxOverflowLogging

Никакая подсказка диалогового окна

Установка для инструментирования фиксированной точки. Установите Fixed-point instrumentation mode на Fixed-Point Tool.

{'UseLocalSettings'} | 'MinMaxAndOverflow' | 'OverflowOnly' | 'ForceOff'

   IsSubsystemVirtual

 

boolean — {'off'} | 'on'

Только для чтения

Генератор вызова функции (Function-Call Generator) (подсистема маскированная)

   sample_time

Размер шага

{'1'}

   numberOfIterations

Количество итераций

{'1'}

Подсистема вызова функций (SubSystem)

   ShowPortLabels

Покажите метки порта

Примечание

Значения 'off' и 'on' для обратной совместимости только и не должен использоваться в новых моделях или при обновлении существующих моделей.

'none' | {'FromPortIcon'} | 'FromPortBlockName' | 'SignalName' | 'off' | 'on'

   BlockChoice

Блокируйте выбор

{''}

   TemplateBlock

Шаблон блока

{''}

   MemberBlocks

Блоки члена

{''}

   Permissions

Полномочия чтения-записи

{'ReadWrite'} | 'ReadOnly' | 'NoReadOrWrite'

   ErrorFcn

Имя ошибочной функции обратного вызова

{''}

   PermitHierarchical
   Разрешение

Разрешите иерархическое разрешение

{'All'} | 'ExplicitOnly' | 'None'

   TreatAsAtomicUnit

Обработайте как атомарный модуль

'off' | {'on'}

   MinAlgLoopOccurrences

Минимизируйте алгебраические случаи цикла

{'off'} | 'on'

   CheckFcnCallInp
   InsideContextMsg

Предупредите, если входные параметры вызова функции являются зависящими от контекста

{'off'} | 'on'

   SystemSampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   RTWSystemCode

Упаковка функций

{'Auto'} | 'Inline' | 'Nonreusable function' | 'Reusable function'

   RTWFcnNameOpts

Опции имени функции

{'Auto'} | 'Use subsystem name' | 'User specified'

   RTWFcnName

FunctionName

{''}

   RTWFileNameOpts

Опции имени файла

{'Auto'} | 'Use subsystem name' | 'Use function name' | 'User specified'

   RTWFileName

Имя файла (никакое расширение)

{''}

   DataTypeOverride

Никакая подсказка диалогового окна

Указывает, что тип данных раньше заменял типы данных с фиксированной точкой. Установите переопределением Типа данных на Fixed-Point Tool.

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

   MinMaxOverflowLogging

Никакая подсказка диалогового окна

Установка для инструментирования фиксированной точки. Установите Fixed-point instrumentation mode на Fixed-Point Tool.

{'UseLocalSettings'} | 'MinMaxAndOverflow' | 'OverflowOnly' | 'ForceOff'

   IsSubsystemVirtual

 

boolean — {'off'} | 'on'

Только для чтения

Если (If)

   NumInputs

Количество входных параметров

{'1'}

   IfExpression

Если выражение (e.g., u1 ~ = 0)

{'u1 > 0'}

   ElseIfExpressions

Выражения Elseif (список, разделенный запятыми, e.g., u2 ~ = 0, u3 (2) <u2)

{''}

   ShowElse

Еще покажите условие

'off' | {'on'}

   ZeroCross

Включите обнаружение пересечения нулем

'off' | {'on'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

Если подсистема действия (SubSystem)

   ShowPortLabels

Покажите метки порта

Примечание

Значения 'off' и 'on' для обратной совместимости только и не должен использоваться в новых моделях или при обновлении существующих моделей.

'none' | {'FromPortIcon'} | 'FromPortBlockName' | 'SignalName' | 'off' | 'on'

   BlockChoice

Блокируйте выбор

{''}

   TemplateBlock

Шаблон блока

{''}

   MemberBlocks

Блоки члена

{''}

   Permissions

Полномочия чтения-записи

{'ReadWrite'} | 'ReadOnly' | 'NoReadOrWrite'

   ErrorFcn

Имя ошибочной функции обратного вызова

{''}

   PermitHierarchical
   Разрешение

Разрешите иерархическое разрешение

{'All'} | 'ExplicitOnly' | 'None'

   TreatAsAtomicUnit

Обработайте как атомарный модуль

'off' | {'on'}

   MinAlgLoopOccurrences

Минимизируйте алгебраические случаи цикла

{'off'} | 'on'

   CheckFcnCallInp
   InsideContextMsg

Предупредите, если входные параметры вызова функции являются зависящими от контекста

{'off'} | 'on'

   SystemSampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   RTWSystemCode

Упаковка функций

{'Auto'} | 'Inline' | 'Nonreusable function' | 'Reusable function'

   RTWFcnNameOpts

Опции имени функции

{'Auto'} | 'Use subsystem name' | 'User specified'

   RTWFcnName

FunctionName

{''}

   RTWFileNameOpts

Опции имени файла

{'Auto'} | 'Use subsystem name' | 'Use function name' | 'User specified'

   RTWFileName

Имя файла (никакое расширение)

{''}

   DataTypeOverride

Никакая подсказка диалогового окна

Указывает, что тип данных раньше заменял типы данных с фиксированной точкой. Установите переопределением Типа данных на Fixed-Point Tool.

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

   MinMaxOverflowLogging

Никакая подсказка диалогового окна

Установка для инструментирования фиксированной точки. Установите Fixed-point instrumentation mode на Fixed-Point Tool.

{'UseLocalSettings'} | 'MinMaxAndOverflow' | 'OverflowOnly' | 'Off'

   IsSubsystemVirtual

 

boolean — {'off'} | 'on'

Только для чтения

In1 (Inport)

   Port

Номер порта

{'1'}

   IconDisplay

Отображение значка

'Signal name' | {'Port number'} | 'Port number and signal name'

   LatchByDelaying
   OutsideSignal

Фиксатор вводится путем задержания вне сигнала

{'off'} | 'on'

   LatchInputFor
   FeedbackSignals

Вход фиксатора для сигналов обратной связи подсистемы вызова функций выходные параметры

{'off'} | 'on'

   Interpolate

Интерполируйте данные

'off' | {'on'}

   UseBusObject

Задайте свойства через объект шины

{'off'} | 'on'

   BusObject

Объект шины для определения свойств шины

{'BusObject'}

   BusOutputAsStruct

Выведите как невиртуальная шина

{'off'} | 'on'

   PortDimensions

Размерности порта (-1 для наследованного)

{'-1'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   OutMin

Минимум

{'[]'}

   OutMax

Максимум

{'[]'}

   OutDataTypeStr

Тип данных

{'Inherit: auto'} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'boolean' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)' | 'Enum: <class name>'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   Unit

Задайте физическую единицу измерения входного сигнала с блоком.

{'inherit'}| '<Enter unit>'

   UnitNoProp

Задайте физическую единицу измерения входного сигнала с блоком без распространения. Для списка приемлемых модулей смотрите Позволенные Модули.

'<Enter unit>'

   SignalType

Тип сигнала

{'auto'} | 'real' | 'complex'

Модель (ModelReference)

   ModelNameDialog

Имя модели, на которую ссылаются точно так же, как вы ввели его с любым окружающим удаленным пробелом. Когда вы устанавливаете ModelNameDialog программно или в интерактивном режиме, Simulink автоматически устанавливает значения ModelName и ModelFile на основе значения ModelNameDialog.

{'<Enter Model Name>'}

   ModelName

Значение ModelNameDialog лишенный любого расширения файла, которое вы обеспечили. Для обратной совместимости, установки ModelName программно на самом деле наборы ModelNameDialog, который затем устанавливает ModelName аналогичный описанному. Вы не можете использовать get_param получить ModelName из защищенной модели, потому что имя без суффикса было бы неоднозначно. Используйте get_param на ModelFile вместо этого. Можно протестировать ProtectedModel определить программно, защищена ли модель, на которую ссылаются.

вектор символов — Набор автоматически, когда ModelNameDialog установлен.

   ModelFile

Значение ModelNameDialog с расширением файла. Суффикс первого соответствия, которое находит Simulink, становится суффиксом ModelFile. Установка ModelFile программно на самом деле наборы ModelNameDialog, который затем устанавливает ModelFile аналогичный описанному.

вектор символов — Набор автоматически, когда ModelNameDialog установлен.

   ProtectedModel

Boolean только для чтения, указывающий, защищена ли модель, на которую ссылается блок, (on) или незащищенный (off).

boolean — 'off' | 'on' — Установите автоматически когда ModelNameDialog установлен.

   InstanceParameters

Массив структур специфичных для экземпляра параметров на блоке Model.структура с полями: NameЗначениеpath, и Argument.

   ParameterArgumentNames

Имена специфичных для экземпляра параметров, которые, задает модель, на которую ссылаются. Соответствует столбцу Name в таблице на вкладке Instance parameters.

{''}

   ParameterArgumentValues

Значения для аргументов модели. Соответствует столбцу Value в таблице на вкладке Instance parameters.

структура без полей

   SimulationMode

Задает, симулировать ли модель путем генерации и выполнения кода или путем интерпретации модели в программном обеспечении Simulink.

{'Normal'} | 'Accelerator' | 'Software-in-the-loop (SIL)' | 'Processor-in-the-loop (PIL)'

   DefaultDataLogging

 

{'off'} | 'on'

Out1 (Outport)

   Port

Номер порта

{'1'}

   IconDisplay

Отображение значка

'Signal name' | {'Port number'} | 'Port number and signal name'

   UseBusObject

Задайте свойства через объект шины

{'off'} | 'on'

   BusObject

Объект шины для проверки входной шины

{'BusObject'}

   BusOutputAsStruct

Выведите как невиртуальная шина в родительской модели

{'off'} | 'on'

   PortDimensions

Размерности порта (-1 для наследованного)

{'-1'}

   VarSizeSig

Сигнал переменного размера

{'Inherit'} | 'No' | 'Yes'

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   OutMin

Минимум

{'[]'}

   OutMax

Максимум

{'[]'}

   OutDataTypeStr

Тип данных

{'Inherit: auto'} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'boolean' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)' | 'Enum: <class name>' | 'Bus: <object name>'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   Unit

Задайте физическую единицу измерения входного сигнала с блоком. Для списка приемлемых модулей смотрите Позволенные Модули.

{'inherit'}| '<Enter unit>'

   UnitNoProp

Задайте физическую единицу измерения входного сигнала с блоком без распространения. Для списка приемлемых модулей смотрите Позволенные Модули.

'<Enter unit>'

   SignalObject

Этот параметр не появляется в диалоговом окне блока. Используйте Model Data Editor вместо этого. Смотрите Интерфейс Данных проектирования путем Конфигурирования Блоков Inport и Выходного порта (Simulink Coder).

Simulink.Signal объект

Объект класса, который выведен из Simulink.Signal

   StorageClass

Этот параметр не появляется в диалоговом окне блока. Используйте Model Data Editor вместо этого. Смотрите Интерфейс Данных проектирования путем Конфигурирования Блоков Inport и Выходного порта (Simulink Coder).

{'Auto'} | 'Model default' | 'ExportedGlobal' | 'ImportedExtern' | 'ImportedExternPointer' | 'Custom'

   SignalName

Имя сигнала

символьный вектор

   SignalType

Тип сигнала

{'auto'} | 'real' | 'complex'

   OutputWhenDisabled

Выведите, когда отключено

{'held'} | 'reset'

   InitialOutput

Начальный выход

{'[]'}

   MustResolveToSignalObject

Этот параметр не появляется в диалоговом окне блока. Используйте Model Data Editor вместо этого. Видьте Сигналы.

{'off'} | 'on'

Подсистема (SubSystem)

   ShowPortLabels

Покажите метки порта

Примечание

Значения 'off' и 'on' для обратной совместимости только и не должен использоваться в новых моделях или при обновлении существующих моделей.

'none' | {'FromPortIcon'} | 'FromPortBlockName' | 'SignalName' | 'off' | 'on'

   BlockChoice

Блокируйте выбор

{''}

   TemplateBlock

Шаблон блока

{''}

   MemberBlocks

Блоки члена

{''}

   Permissions

Полномочия чтения-записи

{'ReadWrite'} | 'ReadOnly' | 'NoReadOrWrite'

   ErrorFcn

Имя ошибочной функции обратного вызова

{''}

   PermitHierarchical
  Разрешение

Разрешите иерархическое разрешение

{'All'} | 'ExplicitOnly' | 'None'

   TreatAsAtomicUnit

Обработайте как атомарный модуль

{'off'} | 'on'

   TreatAsGroupedWhenPropagatingVariantConditions

Обработка, как сгруппировано при распространении различных условий

'off' | {'on'}

   VariantControl

Различное управление

{'Variant'} | '(default)'

   MinAlgLoopOccurrences

Минимизируйте алгебраические случаи цикла

{'off'} | 'on'

   CheckFcnCallInp
   InsideContextMsg

Предупредите, если входные параметры вызова функции являются зависящими от контекста

{'off'} | 'on'

   SystemSampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   RTWSystemCode

Упаковка функции генерации кода

{'Auto'} | 'Inline' | 'Nonreusable function' | 'Reusable function'

   RTWFcnNameOpts

Опции имени функции генерации кода

{'Auto'} | 'Use subsystem name' | 'User specified'

   RTWFcnName

Имя функции генерации кода

{''}

   RTWFileNameOpts

Опции имени файла генерации кода

{'Auto'} | 'Use subsystem name' | 'Use function name' | 'User specified'

   RTWFileName

Имя файла генерации кода (никакое расширение)

{''}

   DataTypeOverride

Указывает, что тип данных раньше заменял типы данных с фиксированной точкой. Установите переопределением Типа данных на Fixed-Point Tool.

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

   MinMaxOverflowLogging

Никакая подсказка диалогового окна

Установка для инструментирования фиксированной точки. Установите Fixed-point instrumentation mode на Fixed-Point Tool.

{'UseLocalSettings'} | 'MinMaxAndOverflow' | 'OverflowOnly' | 'ForceOff'

   IsSubsystemVirtual

 

boolean — {'on'} | 'off'

Только для чтения

   Virtual

Для внутреннего пользования

 

Переключите случай (SwitchCase)

   CaseConditions

Условия случая (e.g., {1, [2,3]})

{'{1}'}

   ShowDefaultCase

Покажите случай по умолчанию

'off' | {'on'}

   ZeroCross

Включите обнаружение пересечения нулем

'off' | {'on'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   CaseShowDefault

Удержанный от использования в R2009b

 

Переключите подсистему действия случая (SubSystem)

   ShowPortLabels

Покажите метки порта

Примечание

Значения 'off' и 'on' для обратной совместимости только и не должен использоваться в новых моделях или при обновлении существующих моделей.

'none' | {'FromPortIcon'} | 'FromPortBlockName' | 'SignalName' | 'off' | 'on'

   BlockChoice

Блокируйте выбор

{''}

   TemplateBlock

Шаблон блока

{''}

   MemberBlocks

Блоки члена

{''}

   Permissions

Полномочия чтения-записи

{'ReadWrite'} | 'ReadOnly' | 'NoReadOrWrite'

   ErrorFcn

Имя ошибочной функции обратного вызова

{''}

   PermitHierarchical
   Разрешение

Разрешите иерархическое разрешение

{'All'} | 'ExplicitOnly' | 'None'

   TreatAsAtomicUnit

Обработайте как атомарный модуль

'off' | {'on'}

   MinAlgLoopOccurrences

Минимизируйте алгебраические случаи цикла

{'off'} | 'on'

   CheckFcnCallInp
   InsideContextMsg

Предупредите, если входные параметры вызова функции являются зависящими от контекста

{'off'} | 'on'

   SystemSampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   RTWSystemCode

Упаковка функции генерации кода

{'Auto'} | 'Inline' | 'Nonreusable function' | 'Reusable function'

   RTWFcnNameOpts

Опции имени функции генерации кода

{'Auto'} | 'Use subsystem name' | 'User specified'

   RTWFcnName

Имя функции генерации кода

{''}

   RTWFileNameOpts

Опции имени файла генерации кода

{'Auto'} | 'Use subsystem name' | 'Use function name' | 'User specified'

   RTWFileName

Имя файла генерации кода (никакое расширение)

{''}

   DataTypeOverride

Никакая подсказка диалогового окна

Указывает, что тип данных раньше заменял типы данных с фиксированной точкой. Установите переопределением Типа данных на Fixed-Point Tool.

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

   MinMaxOverflowLogging

Никакая подсказка диалогового окна

Установка для инструментирования фиксированной точки. Установите Fixed-point instrumentation mode на Fixed-Point Tool.

{'UseLocalSettings'} | 'MinMaxAndOverflow' | 'OverflowOnly' | 'ForceOff'

   IsSubsystemVirtual

 

boolean — {'off'} | 'on'

Только для чтения

Триггер (TriggerPort)

   TriggerType

Инициируйте тип

{'rising'} | 'falling' | 'either' | 'function-call'

   IsSimulinkFunction

Сконфигурируйте Подсистему вызова функций, чтобы быть Функцией Simulink

{'off'} | 'on'

   StatesWhenEnabling

Состояния при включении

{'held'} | 'reset' | 'inherit'

   PropagateVarSize

Распространите размеры сигналов переменного размера

{'During execution'} | 'Only when enabling'

   ShowOutputPort

Покажите выходной порт

{'off'} | 'on'

   OutputDataType

Тип выходных данных

{'auto'} | 'double' | 'int8'

   SampleTimeType

Тип шага расчета

{'triggered'} | 'periodic'

   SampleTime

Размер шага

{'1'}

   ZeroCross

Включите обнаружение пересечения нулем

'off' | {'on'}

   PortDimensions

Размерности порта (-1 для наследованного)

{'-1'}

   TriggerSignalSampleTime

Инициируйте шаг расчета сигнала

{'-1'}

   OutMin

Минимум

{'[]'}

   OutMax

Максимум

{'[]'}

   OutDataTypeStr

Тип данных

{'Inherit: auto'} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'boolean' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)' | 'Enum: <class name>'

   Interpolate

Интерполируйте данные

'off' | {'on'}

Триггируемая подсистема (SubSystem)

   ShowPortLabels

Покажите метки порта

Примечание

Значения 'off' и 'on' для обратной совместимости только и не должен использоваться в новых моделях или при обновлении существующих моделей.

'none' | {'FromPortIcon'} | 'FromPortBlockName' | 'SignalName' | 'off' | 'on'

   BlockChoice

Блокируйте выбор

{''}

   TemplateBlock

Шаблон блока

{''}

   MemberBlocks

Блоки члена

{''}

   Permissions

Полномочия чтения-записи

{'ReadWrite'} | 'ReadOnly' | 'NoReadOrWrite'

   ErrorFcn

Имя ошибочной функции обратного вызова

{''}

   PermitHierarchical
  Разрешение

Разрешите иерархическое разрешение

{'All'} | 'ExplicitOnly' | 'None'

   TreatAsAtomicUnit

Обработайте как атомарный модуль

'off' | {'on'}

   MinAlgLoopOccurrences

Минимизируйте алгебраические случаи цикла

{'off'} | 'on'

   CheckFcnCallInp
   InsideContextMsg

Предупредите, если входные параметры вызова функции являются зависящими от контекста

{'off'} | 'on'

   SystemSampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   RTWSystemCode

Упаковка функции генерации кода

{'Auto'} | 'Inline' | 'Nonreusable function' | 'Reusable function'

   RTWFcnNameOpts

Опции имени функции генерации кода

{'Auto'} | 'Use subsystem name' | 'User specified'

   RTWFcnName

Имя функции генерации кода

{''}

   RTWFileNameOpts

Опции имени файла генерации кода

{'Auto'} | 'Use subsystem name' | 'Use function name' | 'User specified'

   RTWFileName

Имя файла генерации кода (никакое расширение)

{''}

   DataTypeOverride

Никакая подсказка диалогового окна

Указывает, что тип данных раньше заменял типы данных с фиксированной точкой. Установите переопределением Типа данных на Fixed-Point Tool.

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

   MinMaxOverflowLogging

Никакая подсказка диалогового окна

Установка для инструментирования фиксированной точки. Установите Fixed-point instrumentation mode на Fixed-Point Tool.

{'UseLocalSettings'} | 'MinMaxAndOverflow' | 'OverflowOnly' | 'ForceOff'

   IsSubsystemVirtual

 

boolean — {'off'} | 'on'

Только для чтения

Модульное преобразование

   OutDataTypeStr

Тип выходных данных

{'Inherit via internal rule'} | 'Inherit via back propagation'

Модульная конфигурация системы

   AllowAllUnitSystems

Позвольте или ограничьте модульные системы.

boolean — {'on'} | 'off'

   UnitSystems

Отображения позволили модульную систему.

массив ячеек из символьных векторов — {'SI','English',SI (extended)','CGS'}

В то время как итератор (WhileIterator)

   MaxIters

Максимальное количество итераций (-1 для неограниченного)

{'5'}

   WhileBlockType

Тип цикла с условием продолжения

{'while'} | 'do-while'

   ResetStates

Состояния при запуске

{'held'} | 'reset'

   ShowIterationPort

Покажите порт номера итерации

{'off'} | 'on'

   OutputDataType

Тип выходных данных

{'int32'} | 'int16' | 'int8' | 'double'

В то время как подсистема итератора (SubSystem)

   ShowPortLabels

Покажите метки порта

Примечание

Значения 'off' и 'on' для обратной совместимости только и не должен использоваться в новых моделях или при обновлении существующих моделей.

'none' | {'FromPortIcon'} | 'FromPortBlockName' | 'SignalName' | 'off' | 'on'

   BlockChoice

Блокируйте выбор

{''}

   TemplateBlock

Шаблон блока

{''}

   MemberBlocks

Блоки члена

{''}

   Permissions

Полномочия чтения-записи

{'ReadWrite'} | 'ReadOnly' | 'NoReadOrWrite'

   ErrorFcn

Имя ошибочной функции обратного вызова

{''}

   PermitHierarchical
   Разрешение

Разрешите иерархическое разрешение

{'All'} | 'ExplicitOnly' | 'None'

   TreatAsAtomicUnit

Обработайте как атомарный модуль

'off' | {'on'}

   MinAlgLoopOccurrences

Минимизируйте алгебраические случаи цикла

{'off'} | 'on'

   CheckFcnCallInp
   InsideContextMsg

Предупредите, если входные параметры вызова функции являются зависящими от контекста

{'off'} | 'on'

   SystemSampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   RTWSystemCode

Упаковка функции генерации кода

{'Auto'} | 'Inline' | 'Nonreusable function' | 'Reusable function'

   RTWFcnNameOpts

Опции имени функции генерации кода

{'Auto'} | 'Use subsystem name' | 'User specified'

   RTWFcnName

Имя функции генерации кода

{''}

   RTWFileNameOpts

Опции имени файла генерации кода

{'Auto'} | 'Use subsystem name' | 'Use function name' | 'User specified'

   RTWFileName

Имя файла генерации кода (никакое расширение)

{''}

   DataTypeOverride

Никакая подсказка диалогового окна

Указывает, что тип данных раньше заменял типы данных с фиксированной точкой. Установите переопределением Типа данных на Fixed-Point Tool.

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

   MinMaxOverflowLogging

Никакая подсказка диалогового окна

Установка для инструментирования фиксированной точки. Установите Fixed-point instrumentation mode на Fixed-Point Tool.

{'UseLocalSettings'} | 'MinMaxAndOverflow' | 'OverflowOnly' | 'ForceOff'

   IsSubsystemVirtual

 

boolean — {'off'} | 'on'

Только для чтения

Различная подсистема (Subsystem)
Variant

Никакая подсказка диалогового окна

Проверяйте, является ли подсистема блоком Variant Subsystem.

boolean — {'off'} | 'on'

Только для чтения

VariantChoices

Никакая подсказка диалогового окна

Список вариантов в блоке Variant Subsystem.

{''}

Значение является пустым массивом структур, если блок не является блоком Variant Subsystem. В противном случае значение является массивом структур с полями: Name и BlockName.

Только для чтения

VariantControl

Различное управление для блока выбора блока Variant Subsystem.

{''}

Значение является пустым символьным вектором, если блок не является вариантом. В противном случае значение является различным управлением для блока выбора.

VariantControlMode

Указывает, что режим раньше определял активный вариант.

{'expression'} | 'label' | 'sim codegen switching'

LabelModeActiveChoice

Задает различную метку управления активного выбора для блока Variant Subsystem когда VariantControlMode задан как label.

{''}

Значение является пустым символьным вектором если VariantControlMode для Variant Subsystem блоком не является label. В противном случае значение является различной меткой управления для активного выбора.

TreatAsGroupedWhenPropagatingVariantConditions

Никакая подсказка диалогового окна

Задает, обработан ли subsystem как группа при распространении различных условий.

boolean — {'on'} | 'off'

GeneratePreprocessorConditionals

Никакая подсказка диалогового окна

Задает, состоит ли весь выбор в том, чтобы анализироваться и если условные выражения препроцессора должны быть сгенерированы.

boolean — {'off'} | 'on'

PropagateVariantConditions

Задает, должны ли различные условия на портах в блоке Variant Subsystem быть распространены вне блока.

Примечание

Используйте Различный параметр времени активации вместо этого.

boolean — {'off'} | 'on'

AllowZeroVariantControls

Задает, позволяют ли блоку Variant Subsystem не иметь никакого активного выбора.

boolean — {'off'} | 'on'

Variant Activation Time

Задает, когда активный выбор блока Variant Subsystem установлен.

Параметр Variant activation time доступен только, когда вы устанавливаете параметр Variant control mode на expression или sim codegen switching.

{'update diagram'} | 'update diagram analyze all choices' | 'code compile'

Сигнал приписывает параметры библиотечного блока

Блокируйте (Тип) / Параметр

Подсказка диалогового окна

Значения

Соедините шиной к вектору (BusToVector)

Преобразование типа данных (DataTypeConversion)

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

{'Inherit: Inherit via back propagation'} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'boolean' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)' | 'Enum: <class name>'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   ConvertRealWorld

Ввод и вывод, чтобы иметь равный

{'Real World Value (RWV)'} | 'Stored Integer (SI)'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   SaturateOnInteger
  Переполнение

Насыщайте на целочисленном переполнении

{'off'} | 'on'

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

Наследованное преобразование типа данных (Conversion Inherited) (подсистема маскированная)

   ConvertRealWorld

Ввод и вывод, чтобы иметь равный

{'Real World Value'} | 'Stored Integer'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   DoSatur

Насыщайте к макс. или min, когда переполнение произойдет

{'off'} | 'on'

Копия типа данных (DataTypeDuplicate)

   NumInputPorts

Количество входных портов

{'2'}

Распространение типа данных (Data Type Propagation) (подсистема маскированная)

   PropDataTypeMode

1. Распространенный тип данных

'Specify via dialog' | {'Inherit via propagation rule'}

   PropDataType

1.1. Распространенный тип данных (например, fixdt(1,16), fixdt('single'))

{'fixdt(1,16)'}

   IfRefDouble

1.1. Если какой-либо ссылочный вход является двойным, выход

{'double'} | 'single'

   IfRefSingle

1.2. Если какой-либо ссылочный вход является одним, выход

'double' | {'single'}

   IsSigned

1.3. - Со знаком

'IsSigned1' | 'IsSigned2' | {'IsSigned1 or IsSigned2'} | 'TRUE' | 'FALSE'

   NumBitsBase

1.4.1. Номер битов: основа

'NumBits1' | 'NumBits2' | {'max([NumBits1 NumBits2])'} | 'min([NumBits1 NumBits2])' | 'NumBits1+NumBits2'

   NumBitsMult

1.4.2. Номер битов: Мультипликативная корректировка

{'1'}

   NumBitsAdd

1.4.3. Номер битов: Аддитивная корректировка

{'0'}

   NumBitsAllowFinal

1.4.4. Номер битов: Допустимые окончательные значения

{'1:128'}

   PropScalingMode

2. Распространенное масштабирование

'Specify via dialog' | {'Inherit via propagation rule'} | 'Obtain via best precision'

   PropScaling

2.1. Распространенное масштабирование: Наклон или [Наклонное Смещение] напр. 2^-9

{'2^-10'}

   ValuesUsedBestPrec

2.1. Значения раньше определяли лучшее масштабирование точности

{'[5 -7]'}

   SlopeBase

2.1.1. Наклон: основа

'Slope1' | 'Slope2' | 'max([Slope1 Slope2])' | {'min([Slope1 Slope2])'} | 'Slope1*Slope2' | 'Slope1/Slope2' | 'PosRange1' | 'PosRange2' | 'max([PosRange1 PosRange2])' | 'min([PosRange1 PosRange2])' | 'PosRange1*PosRange2' | 'PosRange1/PosRange2'

   SlopeMult

2.1.2. Наклон: Мультипликативная корректировка

{'1'}

   SlopeAdd

2.1.3. Наклон: Аддитивная корректировка

{'0'}

   BiasBase

2.2.1. Смещение: основа

{'Bias1'} | 'Bias2' | 'max([Bias1 Bias2])' | 'min([Bias1 Bias2])' | 'Bias1*Bias2' | 'Bias1/Bias2' | 'Bias1+Bias2' | 'Bias1-Bias2'

   BiasMult

2.2.2. Смещение: Мультипликативная корректировка

{'1'}

   BiasAdd

2.2.3. Смещение: Аддитивная корректировка

{'0'}

Полоса масштабирования типа данных (Scaling Strip) (подсистема маскированная)

IC (InitialCondition)

   Value

Начальное значение

{'1'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

Зонд (Probe)

   ProbeWidth

Тестовая ширина

'off' | {'on'}

   ProbeSampleTime

Тестовый шаг расчета

'off' | {'on'}

   ProbeComplexSignal

Обнаружьте комплексный сигнал

'off' | {'on'}

   ProbeSignalDimensions

Тестовые размерности сигнала

'off' | {'on'}

   ProbeFramedSignal

Обнаружьте структурированный сигнал

'off' | {'on'}

   ProbeWidthDataType

Тип данных для ширины

{'double'} | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'Same as input'

   ProbeSampleTimeDataType

Тип данных для шага расчета

{'double'} | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'Same as input'

   ProbeComplexityDataType

Тип данных для сложности сигнала

{'double'} | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'boolean' | 'Same as input'

   ProbeDimensionsDataType

Тип данных для размерностей сигнала

{'double'} | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'Same as input'

   ProbeFrameDataType

Тип данных для систем координат сигнала

{'double'} | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'boolean' | 'Same as input'

Переход уровня (RateTransition)

   Integrity

Обеспечьте целостность данных во время передачи данных

'off' | {'on'}

   Deterministic

Обеспечьте детерминированную передачу данных (максимальная задержка)

'off' | {'on'}

   InitialCondition

Начальные условия

{'0'}

   OutPortSampleTimeOpt

Опции шага расчета выходного порта

{'Specify'} | 'Inherit' | 'Multiple of input port sample time'

   OutPortSampleTimeMultiple

Шаг расчета несколько (> 0)

{'1'}

   OutPortSampleTime

Шаг расчета выходного порта

{'-1'}

Преобразование сигнала (SignalConversion)

   ConversionOutput

Вывод

{'Signal copy'} | 'Virtual bus' | 'Nonvirtual bus'

   OutDataTypeStr

Тип данных

{'Inherit: auto'} | 'Bus: <object name>'

   OverrideOpt

Исключите этот блок из 'Оптимизации' сокращения блока

{'off'} | 'on'

Спецификация сигнала (SignalSpecification)

   Dimensions

Размерности (-1 для наследованного)

{'-1'}

   VarSizeSig

Сигнал переменного размера

{'Inherit'} | 'No' | 'Yes'

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   OutMin

Минимум

{'[]'}

   OutMax

Максимум

{'[]'}

   OutDataTypeStr

Тип данных

{'Inherit: auto'} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'boolean' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)' | 'Enum: <class name>' | 'Bus: <object name>'

   BusOutputAsStruct

Потребуйте невиртуальной шины

{'off'} | 'on'

   Unit

Задайте физическую единицу измерения входного сигнала с блоком. Для списка приемлемых модулей смотрите Позволенные Модули.

{'inherit'}| '<Enter unit>'

   UnitNoProp

Задайте физическую единицу измерения входного сигнала с блоком без распространения. Для списка приемлемых модулей смотрите Позволенные Модули.

'<Enter unit>'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   SignalType

Тип сигнала

{'auto'} | 'real' | 'complex'

Взвешенный шаг расчета (SampleTimeMath)

   TsampMathOp

Операция

'+' | '-' | '*' | '/' | {'Ts Only'} | '1/Ts Only'

   weightValue

Значение веса

{'1.0'}

   TsampMathImp

Реализуйте использование

{'Online Calculations'} | 'Offline Scaling Adjustment'

   OutDataTypeStr

Тип выходных данных

{'Inherit via internal rule'} | 'Inherit via back propagation'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   SaturateOnInteger
  Переполнение

Насыщайте на целочисленном переполнении

{'off'} | 'on'

   OutputDataTypeScaling
   Режим

Удержанный от использования в R2009b

 

   DoSatur

Удержанный от использования в R2009b

 

Ширина (Width)

   OutputDataTypeScaling
   Режим

Выходные данные вводят режим

{'Choose intrinsic data type'} | 'Inherit via back propagation' | 'All ports same datatype'

   DataType

Тип выходных данных

{'double'} | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32'

Сигнал, направляющий параметры библиотечного блока

Блокируйте (Тип) / ПараметрПодсказка диалогового окнаЗначения

Соедините шиной присвоение (BusAssignment)

   AssignedSignals

Элементы, которые присваиваются

{''}

   InputSignals

Элементы в шине

матрица — {'{}'}

Соедините шиной создателя (BusCreator)

   InheritFromInputs

Используйте имена от входных параметров вместо от объекта шины

{'on'} | 'off'

Если установлено в 'on', переопределения соединяют шиной имена сигнала от входных параметров. В противном случае, наследовал имена сигнала шины от объекта шины.

   Inputs

Количество входных параметров

{'2'}

   DisplayOption

 

'none' | 'signals' | {'bar'}

   NonVirtualBus

Выведите как невиртуальная шина

{'off'} | 'on'

   OutDataTypeStr

Тип данных

{'Inherit: auto'} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'boolean' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)' | 'Enum: <class name>' | 'Bus: <object name>'

Соедините шиной селектор (BusSelector)

   OutputSignals

Выбранные элементы

вектор символов — в форме 'signal1,signal2'

   OutputAsBus

Выведите как шина

{'off'} | 'on'

   InputSignals

Сигналы в шине

матрица — {'{}'}

Память хранилища данных (DataStoreMemory)

   DataStoreName

Имя хранилища данных

{'A'}

   ReadBeforeWriteMsg

Обнаружьте чтение перед записью

'none' | {'warning'} | 'error'

   WriteAfterWriteMsg

Обнаружьте запись после записи

'none' | {'warning'} | 'error'

   WriteAfterReadMsg

Обнаружьте запись, после того, как считано

'none' | {'warning'} | 'error'

   InitialValue

Начальное значение

{'0'}

   StateMustResolveTo
   SignalObject

Имя хранилища данных должно решить к объекту Сигнала Simulink

{'off'} | 'on'

   DataLogging

Регистрируйте данные сигнала

'off' | {'on'}

   DataLoggingNameMode

Логгирование имени

{'SignalName'} | 'Custom'

   DataLoggingName

Логгирование имени

{''}

   DataLoggingLimit
   DataPoints

Ограничьте точки данных, чтобы продлиться

'off' | {'on'}

   DataLoggingMaxPoints

Ограничьте точки данных, чтобы продлиться

ненулевой целочисленный {5000}

   DataLoggingDecimateData

Децимация

'off' | {'on'}

   DataLoggingLimit
   DataPoints

Децимация

ненулевой целочисленный {2}

   StateStorageClass

Класс памяти

{'Auto'} | 'Model default' | 'ExportedGlobal' | 'ImportedExtern' | 'ImportedExternPointer' | 'Custom'

   StateSignalObject

Класс объекта сигнала

Класс памяти

Simulink.Signal объект

Объект класса, который выведен из Simulink.Signal

   RTWStateStorageType
   Спецификатор

Спецификатор типа генерации кода

{''}

   VectorParams1D

Интерпретируйте векторные параметры как 1D

'off' | {'on'}

   ShowAdditionalParam

Покажите дополнительные параметры

{'off'} | 'on'

   OutMin

Минимум

{'[]'}

   OutMax

Максимум

{'[]'}

   OutDataTypeStr

Тип данных

{'Inherit: auto'} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'boolean' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)' | 'Enum: <class name>'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   SignalType

Тип сигнала

{'auto'} | 'real' | 'complex'

Чтение хранилища данных (DataStoreRead)

DataStoreElementsСоответствует параметрам на вкладке Element Selection диалогового окна блока. См., что Спецификация использует командную строку. 

   DataStoreName

Имя хранилища данных

{'A'}

   SampleTime

Размер шага

{'0'}

Запись хранилища данных (DataStoreWrite)

DataStoreElementsСоответствует параметрам на вкладке Element Assignment диалогового окна блока. См., что Спецификация использует командную строку. 

   DataStoreName

Имя хранилища данных

{'A'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

Демультиплексор (Demux)

   Outputs

Количество выходных параметров

{'2'}

   DisplayOption

Параметр отображения

'none' | {'bar'}

Контроллер среды (Environment Controller) (подсистема маскированная)

От (From)

   GotoTag

Тег Goto

{'A'}

   IconDisplay

Отображение значка

'Signal name' | {'Tag'} | 'Tag and signal name'

Goto (Goto)

   GotoTag

Тег Goto

{'A'}

   IconDisplay

Отображение значка

'Signal name' | {'Tag'} | 'Tag and signal name'

   TagVisibility

Пометьте видимость

{'local'} | 'scoped' | 'global'

Видимость тега Goto (GotoTagVisibility)

   GotoTag

Тег Goto

{'A'}

Вектор индекса (MultiPortSwitch)

   DataPortOrder

Порядок порта данных

{'Zero-based contiguous'} | 'One-based contiguous' | 'Specify indices'

   Inputs

Количество портов данных

{'1'}

   zeroidx

Удержанный от использования в R2010a

 

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   InputSameDT

Потребуйте, чтобы все входные параметры порта данных имели совпадающий тип данных

{'off'} | 'on'

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

{'Inherit: Inherit via internal rule'} | 'Inherit: Inherit via back propagation' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   SaturateOnInteger
   Переполнение

Насыщайте на целочисленном переполнении

{'off'} | 'on'

   AllowDiffInputSizes

Позвольте различные размеры ввода данных (Результаты в выходном сигнале переменного размера)

{'off'} | 'on'

Ручной переключатель (Manual Switch) (подсистема маскированная)

   varsize

Позвольте различные входные размеры (Результаты в выходном сигнале переменного размера)

{'off'} | 'on'

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

Слияние (Merge)

   Inputs

Количество входных параметров

{'2'}

   InitialOutput

Начальный выход

{'[]'}

   AllowUnequalInput
   PortWidths

Позвольте неравные ширины порта

{'off'} | 'on'

   InputPortOffsets

Смещения Input port

{'[]'}

Многопортовый переключатель (MultiPortSwitch)

   DataPortOrder

Порядок порта данных

'Zero-based contiguous' | {'One-based contiguous'} | 'Specify indices'

   Inputs

Количество портов данных

{'3'}

   zeroidx

Удержанный от использования в R2010a

 

   DataPortIndices

Индексы порта данных

{'{1,2,3}'}

   DataPortForDefault

Порт данных для случая по умолчанию

{'Last data port'} | 'Additional data port'

   DiagnosticForDefault

Диагностика для случая по умолчанию

'None' | 'Warning' | {'Error'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   InputSameDT

Потребуйте, чтобы все входные параметры порта данных имели совпадающий тип данных

{'off'} | 'on'

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

{'Inherit: Inherit via internal rule'} | 'Inherit: Inherit via back propagation' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   SaturateOnInteger
   Переполнение

Насыщайте на целочисленном переполнении

{'off'} | 'on'

   AllowDiffInputSizes

Позвольте различные размеры ввода данных (Результаты в выходном сигнале переменного размера)

{'off'} | 'on'

Мультиплексор (Mux)

   Inputs

Количество входных параметров

{'2'}

   DisplayOption

Параметр отображения

'none' | 'signals' | {'bar'}

   UseBusObject

Для внутреннего пользования

 

   BusObject

Для внутреннего пользования

 

   NonVirtualBus

Для внутреннего пользования

 

Селектор (Selector)

   NumberOfDimensions

Количество входных размерностей

{'1'}

   IndexMode

Режим индексации

'Zero-based' | {'One-based'}

   IndexOptionArray

Индексируйте опцию

'Select all' | {'Index vector (dialog)'} | 'Index vector (port)' | 'Starting index (dialog)' | 'Starting index (port)'

   IndexParamArray

Индекс

cellArray

   OutputSizeArray

'OutputSize'

cellArray

   InputPortWidth

Размер Input port

{'1'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   IndexOptions

Смотрите параметр IndexOptionArray для получения дополнительной информации.

 

   Indices

Смотрите параметр IndexParamArray для получения дополнительной информации.

 

   OutputSizes

Смотрите параметр IndexParamArray для получения дополнительной информации.

 

Переключатель (Switch)

   Criteria

Критерии передачи первого входа

{'u2 >= Threshold'} | 'u2 > Threshold' | 'u2 ~= 0'

   Threshold

'Порог'

{'0'}

   ZeroCross

Включите обнаружение пересечения нулем

'off' | {'on'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   InputSameDT

Потребуйте, чтобы все входные параметры порта данных имели совпадающий тип данных

{'off'} | 'on'

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

{'Inherit: Inherit via internal rule'} | 'Inherit: Inherit via back propagation' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)' | 'Enum: <class name>'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   SaturateOnInteger
   Переполнение

Насыщайте на целочисленном переполнении

{'off'} | 'on'

   AllowDiffInputSizes

Позвольте различные входные размеры (Результаты в выходном сигнале переменного размера)

{'off'} | 'on'

Различный источник (VariantSource)

   VariantControls

Различное управление

{'Variant'} | '(default)'

   LabelModeActiveChoice

Пометьте режим активным выбором

{''}

   AllowZeroVariantControls

Позвольте нулевые активные различные средства управления

{'off'} | 'on'

   ShowConditionOnBlock

Условие варианта Show на блоке

{'off'} | 'on'

   VariantActivationTime

Различное время активации

'update diagram' | 'update diagram analyze all choices' | 'code compile'

   CompiledActiveVariantControl

 

string — {''}

Значение является пустой строкой, если никакой вариант не активен; или имя активного варианта. Скомпилируйте модель прежде, чем запросить это свойство.

   CompiledActiveVariantPort

 

string — {'-1'}

Значением является -1 если никакой вариант не активен; или индекс активного варианта. Скомпилируйте модель прежде, чем запросить это свойство.

Различный приемник (VariantSink)

   VariantControls

Различное управление

{'Variant'} | '(default)'

   LabelModeActiveChoice

Пометьте режим активным выбором

{''}

   AllowZeroVariantControls

Позвольте нулевые активные различные средства управления

{'off'} | 'on'

   ShowConditionOnBlock

Условие варианта Show на блоке

{'off'} | 'on'

   VariantActivationTime

Различное время активации

'update diagram' | 'update diagram analyze all choices' | 'code compile'

   CompiledActiveVariantControl

 

string — {''}

Значение является пустой строкой, если никакой вариант не активен; или имя активного варианта. Скомпилируйте модель прежде, чем запросить это свойство.

   CompiledActiveVariantPort

 

string — {'-1'}

Значением является -1 если никакой вариант не активен; или индекс активного варианта. Скомпилируйте модель прежде, чем запросить это свойство.

Векторная конкатенация (Concatenate)

   NumInputs

Количество входных параметров

{'2'}

   Mode

Режим

{'Vector'} | 'Multidimensional array'

Параметры библиотечного блока приемников

Блокируйте (Тип) / ПараметрПодсказка диалогового окнаЗначения

Отображение (Display)

   Numeric display format

Формат

{'short'} | 'long' | 'short_e' | 'long_e' | 'bank' | 'hex (Stored Integer)' | 'binary (Stored Integer)' | 'decimal (Stored Integer)' | 'octal (Stored Integer)'

   Decimation

Децимация

{'1'}

   Floating

Плавание отображения

{'off'} | 'on'

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

Плавание осциллографа (Scope)

   Floating

 

'off' | {'on'}

   Location

 

вектор — {'[376 294 700 533]'}

   Open

 

{'off'} | 'on'

   NumInputPorts

 

Не изменяйте этот параметр с командной строкой. Чтобы добавить входные параметры, используйте кнопку выбора сигнала.

   TickLabels

 

'on' | 'off' | {'OneTimeTick'}

   ZoomMode

 

{'on'} | 'xonly' | 'yonly'

   AxesTitles

 

символьный вектор

   Grid

 

'off' | {'on'} | 'xonly' | 'yonly'

   TimeRange

 

{'auto'}

   YMin

 

{'-5'}

   YMax

 

{'5'}

   SaveToWorkspace

 

{'off'} | 'on'

   SaveName

 

{'ScopeData'}

   DataFormat

 

{'StructureWithTime'} | 'Structure' | 'Array'

   LimitDataPoints

 

'off' | {'on'}

   MaxDataPoints

 

{'5000'}

   Decimation

 

{'1'}

   SampleInput

 

{'off'} | 'on'

   SampleTime

 

{'0'}

Out1 (Outport)

   Port

Номер порта

{'1'}

   IconDisplay

Отображение значка

'Signal name' | {'Port number'} | 'Port number and signal name'

   BusOutputAsStruct

Выведите как невиртуальная шина в родительской модели

{'off'} | 'on'

   PortDimensions

Размерности порта (-1 для наследованного)

{'-1'}

   VarSizeSig

Сигнал переменного размера

{'Inherit'} | 'No' | 'Yes'

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   OutMin

Минимум

{'[]'}

   OutMax

Максимум

{'[]'}

   OutDataTypeStr

Тип данных

{'Inherit: auto'} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'boolean' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)' | 'Enum: <class name>' | 'Bus: <object name>'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   SignalObject

Этот параметр не появляется в диалоговом окне блока. Используйте Model Data Editor вместо этого. Смотрите Интерфейс Данных проектирования путем Конфигурирования Блоков Inport и Выходного порта (Simulink Coder).

Simulink.Signal объект

Объект класса, который выведен из Simulink.Signal

   StorageClass

Этот параметр не появляется в диалоговом окне блока. Используйте Model Data Editor вместо этого. Смотрите Интерфейс Данных проектирования путем Конфигурирования Блоков Inport и Выходного порта (Simulink Coder).

{'Auto'} | 'Model default' | 'ExportedGlobal' | 'ImportedExtern' | 'ImportedExternPointer' | 'Custom'

   SignalName

Имя сигнала

символьный вектор

   SignalType

Тип сигнала

{'auto'} | 'real' | 'complex'

   OutputWhenDisabled

Выведите, когда отключено

{'held'} | 'reset'

   InitialOutput

Начальный выход

{'[]'}

   MustResolveToSignalObject

Этот параметр не появляется в диалоговом окне блока. Используйте Model Data Editor вместо этого. Видьте Сигналы.

{'off'} | 'on'

Осциллограф (Scope)

   Floating

 

{'off'} | 'on'

   Местоположение

 

вектор — {'[188 390 512 629]'}

   Open

 

{'off'} | 'on'

   NumInputPorts

 Не изменяйте этот параметр с set_param команда. Вместо этого используйте TimeScopeConfiguration объект или параметр Number of Input Ports в блоке Scope.

   TickLabels

 

'on' | 'off' | {'OneTimeTick'}

   ZoomMode

 

{'on'} | 'xonly' | 'yonly'

   AxesTitles

 

символьный вектор

   Grid

 

'off' | {'on'} | 'xonly' | 'yonly'

   TimeRange

 

{'auto'}

   YMin

 

{'-5'}

   YMax

 

{'5'}

   SaveToWorkspace

 

{'off'} | 'on'

   SaveName

 

{'ScopeData1'}

   DataFormat

 

{'StructureWithTime'} | 'Structure' | 'Array'

   LimitDataPoints

 

'off' | {'on'}

   MaxDataPoints

 

{'5000'}

   Decimation

 

{'1'}

   SampleInput

 

{'off'} | 'on'

   SampleTime

 

{'0'}

Остановите симуляцию

Терминатор

К файлу (ToFile)

   FileName

FileName

{'untitled.mat'}

   MatrixName

Имя переменной

{'ans'}

   SaveFormat

Сохраните формат

{'Timeseries'} | 'Array'

   Decimation

Децимация

{'1'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

К рабочей области (ToWorkspace)

   VariableName

Имя переменной

{'simout'}

   MaxDataPoints

Ограничьте точки данных, чтобы продлиться

{'inf'}

   Decimation

Децимация

{'1'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   SaveFormat

Сохраните формат

{'Timeseries'} | 'Structure With Time' | 'Structure' | 'Array'

   FixptAsFi

Регистрируйте данные фиксированной точки как a fi объект

{'off'} | 'on'

Исходные параметры библиотечного блока

Блокируйте (Тип) / Параметр

Подсказка диалогового окна

Значения

Ограниченный полосой белый шум (Band-Limited White Noise) (подсистема маскированная)

   Cov

Шумовая мощность

{'[0.1]'}

   Ts

Размер шага

{'0.1'}

   seed

Seed

{'[23341]'}

   VectorParams1D

Интерпретируйте векторные параметры как 1D

'off' | {'on'}

Сигнал щебета (chirp) (подсистема маскированная)

   f1

Начальная частота

{'0.1'}

   T

Целевое время

{'100'}

   f2

Частота в целевое время

{'1'}

   VectorParams1D

Интерпретируйте параметры векторов как 1D

'off' | {'on'}

Часы (Clock)

   DisplayTime

Отобразите время

{'off'} | 'on'

   Decimation

Децимация

{'10'}

Постоянный (Constant)

   Value

Постоянное значение

{'1'}

   VectorParams1D

Интерпретируйте векторные параметры как 1D

'off' | {'on'}

   SampleTime

Время выборки

{'Sample based'} | 'Frame based'

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

{'Inherit: Inherit from 'Constant value''} | 'Inherit: Inherit via back propagation' | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'boolean' | 'fixdt(1,16)' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)' | 'Enum: <class name>'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   SampleTime

Размер шага

{'inf'}

   FramePeriod

Структурируйте период

{'inf'}

Возразите свободного доступа (Counter Free-Running) (подсистема маскированная)

   NumBits

Количество битов

{'16'}

   tsamp

Размер шага

{'-1'}

Ограниченный счетчик (Counter Limited) (подсистема маскированная)

   uplimit

Верхний предел

{'7'}

   tsamp

Размер шага

{'-1'}

Электронные часы (DigitalClock)

   SampleTime

Размер шага

{'1'}

Перечислимая константа (EnumeratedConstant)

   OutDataTypeStr

Тип выходных данных

{'Enum: SlDemoSign'}

   Value

Значение

{'SlDemoSign.Positive'} | 'SlDemoSign.Zero' | 'SlDemoSign.Negative'

   SampleTime

Размер шага

{'inf'}

Из файла (FromFile)

   FileName

FileName

{'untitled.mat'}

   ExtrapolationBeforeFirstDataPoint

Экстраполяция данных перед точкой First Data

{'Linear extrapolation'} | 'Hold first value' | 'Ground value'

   InterpolationWithinTimeRange

Интерполяция данных в области значений времени

{'Linear interpolation'} | 'Zero order hold'

   ExtrapolationAfterLastDataPoint

Экстраполяция данных после последней точки данных

{'Linear extrapolation'} | 'Hold last value' | 'Ground value'

   SampleTime

Размер шага

{'0'}

Из рабочей области (FromWorkspace)

   VariableName

Данные

{'simin'}

   OutDataTypeStr

Тип Выходных данных

{'Inherit: auto'} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'boolean' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)' | 'Enum: <class name>' | 'Bus: <object name>'

   SampleTime

Размер шага

{'0'}

   Interpolate

Интерполируйте данные

'off' | {'on'}

   ZeroCross

Включите обнаружение пересечения нулем

'off' | {'on'}

   OutputAfterFinalValue

Форма выход после итогового значения данных

{'Extrapolation'} | 'Setting to zero' | 'Holding final value' | 'Cyclic repetition'

Земля

In1 (Inport)

   Port

Номер порта

{'1'}

   IconDisplay

Отображение значка

'Signal name' | {'Port number'} | 'Port number and signal name'

   BusOutputAsStruct

Выведите как невиртуальная шина

{'off'} | 'on'

   PortDimensions

Размерности порта (-1 для наследованного)

{'-1'}

   VarSizeSig

Сигнал переменного размера

{'Inherit'} | 'No' | 'Yes'

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

   OutMin

Минимум

{'[]'}

   OutMax

Максимум

{'[]'}

   OutDataTypeStr

Тип данных

{'Inherit: auto'} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'boolean' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)' | 'Enum: <class name>' | 'Bus: <object name>'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   Unit

Задайте физическую единицу измерения входного сигнала с блоком. Для списка приемлемых модулей смотрите Позволенные Модули.

{'inherit'}| '<Enter unit>'

   UnitNoProp

Задайте физическую единицу измерения входного сигнала с блоком без распространения. Для списка приемлемых модулей смотрите Позволенные Модули.

'<Enter unit>'

   SignalType

Тип сигнала

{'auto'} | 'real' | 'complex'

   LatchByDelaying
   OutsideSignal

Фиксатор вводится путем задержания вне сигнала

{'off'} | 'on'

   LatchInputFor
   FeedbackSignals

Вход фиксатора для сигналов обратной связи подсистемы вызова функций выходные параметры

{'off'} | 'on'

   OutputFunctionCall
 

Выведите триггерный сигнал вызова функции

{'off'} | 'on'

   Interpolate

Интерполируйте данные

'off' | {'on'}

Импульсный генератор (DiscretePulseGenerator)

   PulseType

Импульсный тип

{'Time based'} | 'Sample based'

   TimeSource

Время (t)

{'Use simulation time'} | 'Use external signal'

   Amplitude

Амплитуда

{'1'}

   Period

Период

{'10'}

   PulseWidth

Ширина импульса

{'5'}

   PhaseDelay

Задержка фазы

{'0'}

   SampleTime

Размер шага

{'1'}

   VectorParams1D

Интерпретируйте векторные параметры как 1D

'off' | {'on'}

Пандус (Ramp) (подсистема маскированная)

   slope

Наклон

{'1'}

   start

Время начала

{'0'}

   InitialOutput

Начальный выход

{'0'}

   VectorParams1D

Интерпретируйте векторные параметры как 1D

'off' | {'on'}

Случайное число (RandomNumber)

   Mean

Среднее значение

{'0'}

   Variance

Дисперсия

{'1'}

   Seed

Seed

{'0'}

   SampleTime

Размер шага

{'0.1'}

   VectorParams1D

Интерпретируйте векторные параметры как 1D

'off' | {'on'}

Повторение последовательности (Repeating table) (подсистема маскированная)

   rep_seq_t

Временные стоимости

{'[0 2]'}

   rep_seq_y

Выходные значения

{'[0 2]'}

Повторение интерполированной последовательности (Repeating Sequence Interpolated) (подсистема маскированная)

   OutValues

Вектор из выходных значений

{'[3 1 4 2 1].''}

   TimeValues

Вектор из временных стоимостей

{'[0 0.1 0.5 0.6 1].''}

   LookUpMeth

Метод поиска

{'Interpolation-Use End Values'} | 'Use Input Nearest' | 'Use Input Below' | 'Use Input Above'

   tsamp

Размер шага

{'0.01'}

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

'Inherit: Inherit via back propagation' | {'double'} | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   OutputDataTypeScaling
   Режим

Удержанный от использования в R2007b

 

   OutDataType

Удержанный от использования в R2007b

 

   OutScaling

Удержанный от использования в R2007b

 

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

Повторение ступеньки последовательности (Repeating Sequence Stair) (подсистема маскированная)

   OutValues

Вектор из выходных значений

{'[3 1 4 2 1].''}

   tsamp

Размер шага

{'-1'}

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

'Inherit: Inherit via back propagation' | {'double'} | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'boolean' | 'fixdt(1,16)' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)' | 'Enum: <class name>'

   OutputDataTypeScaling
   Режим

Удержанный от использования в R2007b

 

   OutDataType

Удержанный от использования в R2007b

 

   ConRadixGroup

Удержанный от использования в R2007b

 

   OutScaling

Удержанный от использования в R2007b

 

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

Signal Builder (Sigbuilder block) (подсистема маскированная)

Signal Editor (SignalEditor)

   Filename

FileName

{'untitled.mat'}

   ActiveScenario

Активный сценарий

{'Scenario'}

   ActiveSignal

Сигналы

{'Signal 1'}

   IsBus

Выведите сигнал шины

'on' | {'off'}

   OutputBusObjectStr

Выберите объект шины

{'Bus: BusObject'}

   SampleTIme

Размер шага

{'0'}

   Interpolate

Интерполируйте данные

{'off'} | 'on'

   ZeroCross

Включите обнаружение пересечения нулем

{'off'} | 'on'

   OutputAfterFinalValue

Форма выход после итогового значения данных

{'Setting to zero'} | 'Extrapolation' | 'Holding final value'

   Unit

Модуль

{'inherit'}

   PreserveSignalName

boolean — {'off'} | 'on'

   NumberOfScenarios

Только для чтения. Использование get_param получить это значение.

   NumberOfSignals

Только для чтения. Использование get_param получить это значение.

Генератор сигнала (SignalGenerator)

   WaveForm

Форма волны

{'sine'} | 'square' | 'sawtooth' | 'random'

   TimeSource

Время (t)

{'Use simulation time'} | 'Use external signal'

   Amplitude

Амплитуда

{'1'}

   Frequency

Частота

{'1'}

   Units

Модули

'rad/sec' | {'Hertz'}

   VectorParams1D

Интерпретируйте векторные параметры как 1D

'off' | {'on'}

Sine wave (Sin)

   SineType

Тип синуса

{'Time based'} | 'Sample based'

   TimeSource

Время

{'Use simulation time'} | 'Use external signal'

   Amplitude

Амплитуда

{'1'}

   Bias

Смещение

{'0'}

   Frequency

Частота

{'1'}

   Phase

Фаза

{'0'}

   Samples

Выборки на период

{'10'}

   Offset

Количество выборок смещения

{'0'}

   Размер шага

Размер шага

{'0'}

   VectorParams1D

Интерпретируйте векторные параметры как 1D

'off' | {'on'}

Шаг (Step)

   Time

Время шага

{'1'}

   Before

Начальное значение

{'0'}

   After

Окончательное значение

{'1'}

   SampleTime

Размер шага

{'0'}

   VectorParams1D

Интерпретируйте векторные параметры как 1D

'off' | {'on'}

   ZeroCross

Включите обнаружение пересечения нулем

'off' | {'on'}

Универсальное случайное число (UniformRandomNumber)

   Minimum

Минимум

{'-1'}

   Maximum

Максимум

{'1'}

   Seed

Seed

{'0'}

   SampleTime

Размер шага

{'0.1'}

   VectorParams1D

Интерпретируйте векторные параметры как 1D

'off' | {'on'}

Генератор формы волны (WaveformGenerator)

   OutMin

Выведите минимум

{'[]'}

   OutMax

Выведите максимум

{'[]'}

   OutDataTypeStr

Тип выходных данных

'Inherit: Inherit via back propagation' | {'Inherit: Inherit from table data'} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'boolean' | 'fixdt(1,16)' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | 'Floor' | {'Nearest'} | 'Round' | 'Simplest' | 'Zero'

   SaturateOnIntegerOverflow

Насыщайте на целочисленном переполнении

{'off'} | 'on'

   SelectedSignal

Выходной сигнал

{'1'}

   SampleTime

Размер шага

{'0'}

Представьте параметры библиотечного блока в виде строки

Блокируйте (Тип) / Параметр

Подсказка диалогового окна

Значения

Compose String (Compose String)

   Format

Формат

скаляр

{""%d %f""}| ""%d"" | ""%i"" | ""%o"" | ""%x"" | ""%X"" | ""%f"" | ""%e"" | ""%E"" | ""%g"" | ""%G"" | ""%s"" | дополнительные операторы и текст

   OutDataTypeStr

Тип выходных данных

{"stringtype(255)"} | "stringtype (N)" | "string"

Scan String (Scan String)

   Format

Формат

скаляр

{""%d %f""}| ""%d"" | ""%i"" | ""%o"" | ""%x"" | ""%X"" | ""%f"" | ""%e"" | ""%E"" | ""%g"" | ""%G"" | ""%s"" | дополнительные операторы и текст

String Compare (String Compare)

   CaseSensitive

Чувствительность к регистру для строки выдерживает сравнение

'off' | {'on'}

   CompareOption

Сумма символов, чтобы выдержать сравнение

{"Entire string"} | "First N characters"

   NumberOfCharacters

Количество символов, чтобы выдержать сравнение

{'1'} | скаляр

String Concatenate (String Concatenate)

   Inputs

Количество входных параметров

{"2"}

   OutDataTypeStr

Тип выходных данных

{"stringtype(128)"} | "stringtype (N)" | "string"

Строковая константа (String Constant)

   String

Строка

{"Hello!"} | скаляр

   OutDataTypeStr

Тип выходных данных

{"stringtype(128)"} | "stringtype (N)" | "string"

Представьте в виде строки к ASCII (String to ASCII)

   OutputVectorSize

Размер выходного вектора

{"31"} | скаляр

Представьте в виде строки, чтобы удвоиться (String to Double)

   Format

Формат

скаляр

{""%d %f""}| ""%d"" | ""%i"" | ""%o"" | ""%x"" | ""%X"" | ""%f"" | ""%e"" | ""%E"" | ""%g"" | ""%G"" | ""%s"" | дополнительные операторы и текст

Представьте в виде строки к перечислению (String to Enum)

   OutDataTypeStr

Тип выходных данных

{"Enum: SlDemoSign"} | <data type expression>

Представьте в виде строки к одному (String to Single)

   Format

Формат

скаляр

{""%f""}| ""%d"" | ""%i"" | ""%o"" | ""%x"" | ""%X"" | ""%f"" | ""%e"" | ""%E"" | ""%g"" | ""%G"" | ""%s"" | дополнительные операторы и текст

Подстрока (Substring)

   InheritMaximumLength

Наследуйте максимальную длину от входа

'off' | {'on'}

   OutDataTypeStr

Тип выходных данных

{"stringtype(31)"} | "stringtype (N)" | "string"

   StringFromIdxToEnd

Выводимая строка от 'idx', чтобы закончиться

{'off'} | 'on'

Пользовательские параметры библиотечного блока функций

Блокируйте (Тип) / Параметр

Подсказка диалогового окна

Значения

MATLAB Function (Stateflow) (подсистема маскированная)

MATLAB System (MATLABSystem)

   System

Имя класса системного объекта

{''}

Level-2 MATLAB S-Function (M-S-Function)

   FunctionName

S-имя-функции

{'mlfile'}

   Parameters

Аргументы

{''}

Interpreted MATLAB Function (MATLABFcn)

   MATLABFcn

Функция MATLAB

{'sin'}

   OutputDimensions

Выведите размерности

{'-1'}

   OutputSignalType

Тип выходного сигнала

{'auto'} | 'real' | 'complex'

   Output1D

Сверните 2D результаты к 1D

'off' | {'on'}

   SampleTime

Шаг расчета (-1 для наследованного)

{'-1'}

S-функция (S-Function)

   FunctionName

S-имя-функции

{'system'}

   Parameters

S-параметры-функции

{''}

   SFunctionModules

Модули S-функции

{''}

Разработчик S-функции (S-Function Builder) (подсистема маскированная)

   FunctionName

S-имя-функции

{'system'}

   Parameters

S-параметры-функции

{''}

   SFunctionModules

Модули S-функции

{''}

Дополнительные дискретные параметры библиотечного блока

Блокируйте (Тип) / Параметр

Подсказка диалогового окна

Значения

Пространство состояний фиксированной точки (Fixed-Point State-Space) (подсистема маскированная)

   A

Матрица А состояния

{'[2.6020 -2.2793 0.6708; 1 0 0; 0 1 0]'}

   B

Введите матрицу B

{'[ 1; 0; 0]'}

   C

Выходная матрица C

{'[0.0184 0.0024 0.0055]'}

   D

Прямая проходная матрица D

{'[0.0033]'}

   InitialCondition

Начальное условие для состояния

{'0.0'}

   InternalDataType

Тип данных для внутренних вычислений

{'fixdt('double')'}

   StateEqScaling

Масштабирование для уравнения состояния AX+BU

{'2^0'}

   OutputEqScaling

Масштабирование для Выходного уравнения CX+DU

{'2^0'}

   LockScale

Заблокируйте установку типа выходных данных против изменений Fixed-Point Tool

{'off'} | 'on'

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   DoSatur

Насыщайте к макс. или min, когда переполнение произойдет

{'off'} | 'on'

Передайте прямую форму Fcn II (Transfer Fcn Direct Form II) (подсистема маскированная)

   NumCoefVec

Коэффициенты числителя

{'[0.2 0.3 0.2]'}

   DenCoefVec

Коэффициенты знаменателя, исключая вывод (который должен быть 1.0),

{'[-0.9 0.6]'}

   vinit

Начальное условие

{'0.0'}

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   DoSatur

Насыщайте к макс. или min, когда переполнение произойдет

{'off'} | 'on'

Передайте прямую форму Fcn, в II раз варьируясь (Transfer Fcn Direct Form II Time Varying) (подсистема маскированная)

   vinit

Начальное условие

{'0.0'}

   RndMeth

Целочисленный режим округления

'Ceiling' | 'Convergent' | {'Floor'} | 'Nearest' | 'Round' | 'Simplest' | 'Zero'

   DoSatur

Насыщайте к макс. или min, когда переполнение произойдет

{'off'} | 'on'

Enabled Unit Delay (Unit Delay Enabled) (подсистема маскированная)

   vinit

Начальное условие

{'0.0'}

   tsamp

Размер шага

{'-1'}

Unit Delay Enabled внешний IC (Unit Delay Enabled External Initial Condition) (подсистема маскированная)

   tsamp

Размер шага

{'-1'}

Unit Delay, Enabled восстановленный (Unit Delay Enabled Resettable) (подсистема маскированная)

   vinit

Начальное условие

{'0.0'}

   tsamp

Размер шага

{'-1'}

Unit Delay Enabled восстановленный внешний IC (Unit Delay Enabled Resettable External Initial Condition) (подсистема маскированная)

   tsamp

Размер шага

{'-1'}

Unit Delay внешний IC (Unit Delay External Initial Condition) (подсистема маскированная)

   tsamp

Размер шага

{'-1'}

Восстановленный Unit Delay (Unit Delay Resettable) (подсистема маскированная)

   vinit

Начальное условие

{'0.0'}

   tsamp

Размер шага

{'-1'}

Unit Delay восстановленный внешний IC (Unit Delay Resettable External Initial Condition) (подсистема маскированная)

   tsamp

Размер шага

{'-1'}

Unit Delay с Enabled предварительным просмотром (Unit Delay With Preview Enabled) (подсистема маскированная)

   vinit

Начальное условие

{'0.0'}

   tsamp

Размер шага

{'-1'}

Unit Delay с предварительным просмотром, Enabled восстановленный (Unit Delay With Preview Enabled Resettable) (подсистема маскированная)

   vinit

Начальное условие

{'0.0'}

   tsamp

Размер шага

{'-1'}

Unit Delay с предварительным просмотром Enabled восстановленный внешний RV (Unit Delay With Preview Enabled Resettable External RV) (подсистема маскированная)

   vinit

Начальное условие

{'0.0'}

   tsamp

Размер шага

{'-1'}

Unit Delay с восстановленным предварительным просмотром (Unit Delay With Preview Resettable) (подсистема маскированная)

   vinit

Начальное условие

{'0.0'}

   tsamp

Размер шага

{'-1'}

Unit Delay с предварительным просмотром восстановленный внешний RV (Unit Delay With Preview Resettable External RV) (подсистема маскированная)

   vinit

Начальное условие

{'0.0'}

   tsamp

Размер шага

{'-1'}

Дополнительная математика: шаг - декрементные параметры библиотечного блока

Блокируйте (Тип) / Параметр

Подсказка диалогового окна

Значения

Декрементный реальный мир (Real World Value Decrement) (подсистема маскированная)

Декрементное сохраненное целое число (Stored Integer Value Decrement) (подсистема маскированная)

Декрементное время, чтобы обнулить (Decrement Time To Zero) (подсистема маскированная)

Декремент, чтобы обнулить (Decrement To Zero) (подсистема маскированная)

Инкрементный реальный мир (Real World Value Increment) (подсистема маскированная)

Инкрементное сохраненное целое число (Stored Integer Value Increment) (подсистема маскированная)

Для просмотра документации необходимо авторизоваться на сайте