vsim

Запустите и сконфигурируйте ModelSim для использования с HDL Verifier

Синтаксис

vsim('PropertyName', 'PropertyValue'...)

Описание

vsim('PropertyName', 'PropertyValue'...) запускает и конфигурирует средство моделирования ModelSim® (vsim) для использования с MATLAB® и функциями Simulink® HDL Verifier™. Первая папка в ModelSim совпадает с вашей текущей папкой MATLAB.

vsim создает запуск (или .do) файл, который добавляет следующие команды Tcl в ModelSim:

  • vsimmatlab: соединитесь с MATLAB от ModelSim

  • vsimulink: соединитесь с Simulink от ModelSim

  • vmatlabsysobj: соединитесь с Системой MATLAB object™ от ModelSim.

Можно использовать эти новые команды ModelSim вместо команды ModelSim vsim. Эти команды используются, чтобы загрузить экземпляры сущностей VHDL® или модулей Verilog® для симуляций, которые используют MATLAB или Simulink для верификации

Имя свойства / настройки пары значения свойства позволяет, вы, чтобы настроить команды Tcl раньше запускали ModelSim, исполняемый файл vsim, который будет использоваться, путь и имя файла DO, который хранит команды запуска, и для приложений Simulink, деталей о режиме коммуникации, которая будет использоваться приложениями.

Совет

Используйте pingHdlSim, чтобы добавить паузу между вызовом vsim и вызовом, чтобы на самом деле запустить симуляцию, когда вы попытаетесь автоматизировать cosimulation.

Имя свойства / Пары Значения свойства

libdir

Задает путь к библиотекам HDL Verifier HDL

  • folder

    Папка, содержащая библиотеки для ModelSim, чтобы связаться с MATLAB, когда ModelSim работает на машине, которая не имеет MATLAB.

Если это свойство не установлено, путь по умолчанию в установке MATLAB используется.

libfile

Задает конкретный файл библиотеки

  • library_file_name

    . Эти значения по умолчанию значения к версии файла библиотеки, который был создан с помощью того же компилятора, который использует сам MATLAB. Если симулятор HDL соединяет другие библиотеки, включая библиотеки SystemC, которые были созданы с помощью компилятора, предоставленного симулятором HDL, можно задать альтернативный файл библиотеки с этим свойством. См. Библиотеки Cosimulation для версий библиотеки, созданной с помощью других компиляторов.

    Не включайте специфичное для ОС расширение библиотеки в library_file_name.

pingTimeout

Время, чтобы ожидать, в секундах, для симулятора HDL, чтобы запуститься.

  • seconds

    Задайте 0 (значение по умолчанию), чтобы сразу возвратиться без ожидания.

rundir

Задает, куда запустить симулятор HDL

  • dirname

    По умолчанию функция использует текущую рабочую папку.

    Следующие условия применяются к этой паре имя/значение:

    • Если значение dirname является “TEMPDIR”, функция создает временную папку, в которой это запускает ModelSim.

    • Если вы зададите dirname, и папка не существует, вы получите ошибку.

runmode

Задает, как запустить симулятор HDL.

  • mode

    Можно установить режим выполнения на одно из следующих значений:

    • 'Batch': Запустите симулятор HDL в фоновом режиме без окна (Linux®) или в неинтерактивном командном окне (Windows®).

    • 'CLI': Запустите симулятор HDL в окне интерактивного терминала.

    • 'GUI': Запустите симулятор HDL с графического интерфейса пользователя ModelSim.

    Это значение значения по умолчанию к 'GUI'.

socketmatlabsysobj

Задает коммуникацию сокета TCP/IP для ссылок между ModelSim и MATLAB.

  • tcp_spec

    Для коммуникации сокета TCP/IP в одной вычислительной системе tcp_spec может состоять из только номера порта TCP/IP или сервисного имени. Если вы настраиваете связь между вычислительными системами, необходимо также задать имя или интернет-адрес удаленного хоста.

    Для получения дополнительной информации о выборе портов сокета TCP/IP смотрите Порты Сокета TCP/IP

    Если ModelSim и MATLAB работают на той же вычислительной системе, у вас есть опция использования общей памяти для коммуникации. Общая память является режимом по умолчанию коммуникации и вступает в силу, если вы не задаете -socket <tcp-spec> на командной строке.

    Примечание

    Функция применяет коммуникационный режим, заданный этим свойством ко всем вызовам MATLAB от ModelSim.

Задает коммуникацию сокета TCP/IP для ссылок между ModelSim и Simulink.

  • tcp_spec

    Для коммуникации сокета TCP/IP в одной вычислительной системе tcp_spec может состоять из только номера порта TCP/IP или сервисного имени. Если вы настраиваете связь между вычислительными системами, необходимо также задать имя или интернет-адрес удаленного хоста.

    Для получения дополнительной информации о выборе портов сокета TCP/IP смотрите Порты Сокета TCP/IP

    Если ModelSim и Simulink работают на той же вычислительной системе, у вас есть опция использования общей памяти для коммуникации. Общая память является режимом по умолчанию коммуникации и вступает в силу, если вы не задаете -socket <tcp-spec> на командной строке.

    Примечание

    Функция применяет коммуникационный режим, заданный этим свойством ко всем вызовам Simulink от ModelSim.

startms

Определяет, запускается ли ModelSim от vsim.

  • yes | no

    Эти значения по умолчанию свойства к yes, который запускает ModelSim и создает запуск файл Tcl. Если startms установлен в no, ModelSim не запускается, но симулятор HDL все еще создает запуск файл Tcl.

    Этот запуск файл Tcl содержит указатели на библиотеки MATLAB. Чтобы запустить ModelSim на машине без MATLAB, скопируйте запуск файл Tcl и файлы библиотеки MATLAB к удаленной машине и запустите ModelSim вручную. См. Библиотеки Cosimulation.

startupfile

Задает скрипт Tcl для запуска

  • pathname

    Каждый вызов vsim создает скрипт Tcl, который применяется во время запуска симулятора HDL. По умолчанию эта функция генерирует имя файла compile_and_launch.tcl в папке, заданной rundir. С этим свойством можно задать название и местоположение сгенерированного скрипта Tcl. Если имя файла уже существует, что содержимое файла перезаписывается. Можно отредактировать и использовать сгенерированный файл в обычном интерпретаторе за пределами MATLAB. Например:

    sh> vsim -gui -do compile_and_launch.tcl

tclstart

Задает одну или несколько команд Tcl, чтобы выполниться во время запуска ModelSim

  • tcl_commands

    Задайте вектор управляющего символа или массив ячеек команд с каждой записью, являющейся командой Tcl. Эти команды добавлены к файлу запуска.

vsimdir

Задает путь к папке с исполняемым файлом средства моделирования ModelSim (vsim.exe), который будет запущен.

  • pathname

    По умолчанию функция использует первую версию vsim.exe, который это находит на системном пути (заданный переменной path). Используйте эту опцию, чтобы запустить различные версии средства моделирования ModelSim или если версия средства моделирования, которое вы хотите запустить, не находится на системном пути.

Примеры

Следующая последовательность вызова функции изменяет местоположение папки к VHDLproj и затем вызывает функциональный vsim. Поскольку вызов vsim не использует свойства 'vsimdir' и 'startupfile', vsim использует исполняемый файл vsim по умолчанию и создает временный файл DO во временной папке. Свойство 'tclstart' задает команду Tcl, которая загружает экземпляр сущности VHDL для верификации MATLAB:

  • Команда vsimmatlab загружает экземпляр сущности VHDL parse в библиотеке work для верификации MATLAB.

  • Команда matlabtb начинает сеанс испытательного стенда для экземпляра сущности parse, с помощью коммуникации сокета TCP/IP на порте 4449 и значение синхронизации испытательного стенда 10 нс.

>>cd VHDLproj  % Change folder to ModelSim project folder
>>vsim('tclstart','vsimmatlab work.parse; matlabtb parse 10 ns -socket 4449')

Следующая последовательность вызова функции изменяет местоположение папки к VHDLproj и затем вызывает функциональный vsim.

  • Поскольку вызов vsim не использует свойства 'vsimdir' и 'startupfile', vsim использует исполняемый файл vsim по умолчанию и создает файл DO во временной папке.

  • Свойство 'tclstart' задает команду Tcl, которая загружает сущность VHDL parse в библиотеке work для cosimulation между vsim и Simulink.

  • Свойство 'socketsimulink' указывает, что коммуникация сокета TCP/IP на том же компьютере должна использоваться для ссылок между Simulink и ModelSim, с помощью порта сокета 4449.

>>cd VHDLproj  % Change folder to ModelSim project folder
>>vsim('tclstart','vsimulink work.parse','socketsimulink','4449')
 

Введенный в R2008a

Для просмотра документации необходимо авторизоваться на сайте