Simulink Cosimulation

HDL cosimulation с Simulink®

Блоки

HDL Cosimulation HDL Cosimulate разрабатывает путем соединения Simulink с симулятором HDL
To VCD FileСгенерируйте файл дампа изменений значения (VCD)

Приложения

Cosimulation WizardСгенерируйте блок cosimulation или Системный объект из существующих файлов HDL

Функции

развернуть все

hdlsimulinkЗагрузите инстанцированный проект HDL для cosimulation с Острым Тактовым сигналом и Simulink
nclaunchЗапустите и сконфигурируйте Тактовый сигнал Острые средства моделирования для использования с программным обеспечением HDL Verifier
vsimЗапустите и сконфигурируйте ModelSim для использования с HDL Verifier
vsimulinkЗагрузите инстанцированный модуль HDL для cosimulation с ModelSim и Simulink
breakHdlSimВыполните команду остановки в симуляторе HDL из MATLAB
nomatlabtbЗакончите активный испытательный стенд MATLAB и сеансы компонента MATLAB
notifyMatlabServerОтправьте событие симулятора HDL и идентификаторы процесса к серверу MATLAB
pingHdlSimБлокируйте cosimulation, пока симулятор HDL не будет готов к симуляции
tclHdlSimВыполните команду Tcl в средстве моделирования ModelSim или Остром
waitForHdlClientОжидайте, пока заданный идентификатор события не получен, или тайм-аут происходит
dec2mvlПреобразуйте десятичное целое число в бинарный вектор символов или представьте скаляр в виде строки
mvl2decПреобразуйте многозначную логику в десятичное число

Темы

Запуск и связь

Настройте для HDL Cosimulation

К cosimulate ваш HDL-код с MATLAB® или проектом Simulink, вы должны сначала:

Поддерживаемые инструменты EDA и оборудование

Список поддерживаемого стороннего программного обеспечения EDA и плат FPGA.

Запустите симулятор HDL для Cosimulation в Simulink

Настройте связь между симулятором HDL и Simulink.

Порты Сокета TCP/IP

Обеспечивает некоторое направление для выбора портов сокета TCP/IP

Перекрестный сетевой Cosimulation

Предоставляет инструкции для выполнения cosimulation через локальную сеть

Испытательный стенд

Simulink как испытательный стенд

Обеспечивает введение в процесс для интеграции блоков HDL Verifier™ в проект Simulink.

Создайте испытательный стенд Cosimulation Simulink

Шаги, чтобы закодировать и запустить Simulink когда испытательный стенд cosimulation для использования с программным обеспечением HDL Verifier.

Проверьте модуль HDL с местом размещения Simulink Test

Шаги для подготовки сеанса HDL Verifier, который использует Simulink, чтобы проверить простую модель VHDL®.

Проверьте повышенный проект фильтра косинуса Используя Simulink

Предоставляет инструкцию в использовании Cosimulation Wizard, чтобы создать модель Simulink для cosimulation

Верификация Сгенерированного HDL-кода с Испытательным стендом Cosimulation (требует лицензии HDL Coder),

Сгенерируйте испытательный стенд и включите покрытие кода Используя HDL Workflow Advisor (HDL Coder)

Сгенерируйте испытательный стенд и покрытие кода для сгенерированного HDL-кода с помощью HDL Workflow Advisor.

Автоматическая верификация сгенерированного HDL-кода от Simulink

Проверьте сгенерированный HDL-код с помощью сгенерированной cosimulation модели.

Алгоритм компонента

Симуляция компонента с Simulink

Обеспечивает введение в процесс для интеграции блоков в проект Simulink.

Создайте модель Simulink для Cosimulation Компонента

Обеспечивает высокоуровневое представление шагов, вовлеченных в кодирование и выполнение Simulink когда компонент cosimulation для использования с программным обеспечением.

Cosimulation с Simulink

HDL Cosimulation

Программное обеспечение HDL Verifier состоит из функций MATLAB, Система MATLAB object™, и библиотека блоков Simulink, все из которых устанавливают линии связи между симулятором HDL и MATLAB или Simulink.

Выполнение Cosimulation

Следующие шаги после того, как вы генерируете функцию или блок, представляющий ваш модуль HDL.

Подготовьтесь импортировать HDL-код для Cosimulation

Подготовьтесь к cosimulation и выберите ли к cosimulate ваш HDL-код как функция, Системный объект или блок.

Импортируйте HDL-код для HDL блок Cosimulation

Сгенерируйте блок Simulink к cosimulate ваш HDL-код.

Запустите сеанс Cosimulation Simulink

Запустите свой испытательный стенд или алгоритм, включая cosimulation вашего модуля HDL.

Взаимодействие симулятора HDL

Масштабы времени симуляции

Представление времени симуляции значительно отличается между симулятором HDL и Simulink.

Синхронизируйте, сброс, и включите сигналы

Можно создать возрастающее ребро или часы ребра падения, сброс, или часы включают сигналы, которые применяют внутренние стимулы для модели под cosimulation.

Советы улучшения скорости симуляции

Обеспечивает предложения для оптимизации вашей cosimulation производительности

Поддерживаемые типы данных

Если ваше приложение HDL должно отправить данные о HDL в функцию MATLAB, вы, возможно, сначала должны преобразовать данные в тип, поддержанный MATLAB и программным обеспечением HDL Verifier.

Условия состязания в симуляторах HDL

Описывает способы избежать условий состязания в оборудовании cosimulations с программным обеспечением Simulink и MATLAB

Запись изменений состояния сигнала для последующей обработки

Добавьте файл Дампа изменений значения (VCD)

Файл дампа изменений значения (VCD) регистрирует изменения в значениях переменных, таких как значения сигналов, в файле во время сеанса симуляции.

Визуально сравните сигналы Simulink с сигналами HDL

Руководства вы через основные шаги для добавления блока To VCD File к модели Simulink для использования с cosimulation

Популярные примеры

Для просмотра документации необходимо авторизоваться на сайте