Dual Rate Dual Port RAM

Двухпортовый RAM, который поддерживает два уровня

Библиотека

HDL Coder / RAM HDL

Описание

Блок Dual Rate Dual Port RAM моделирует RAM, который поддерживает одновременные операции чтения и операции записи к различным адресам на двух тактовых частотах. Порт А RAM может достигнуть одного уровня, и порт B может запуститься на различном уровне.

В высокоэффективных аппаратных приложениях можно использовать этот блок, чтобы получить доступ к RAM дважды на такт. Если вы генерируете HDL-код, это блок-диаграммы к двойному тактовому двухпортовому RAM в большей части FPGAs.

Одновременный доступ

Можно получить доступ к различным адресам от портов А и B одновременно. Можно также считать тот же адрес из портов А и B одновременно.

Однако не получайте доступ к адресу от одного порта RAM, в то время как он пишется из другого порта RAM. В процессе моделирования, если вы получаете доступ к адресу от одного порта RAM в то же время, что и вы пишете, что адрес от другого порта RAM, программное обеспечение сообщает об ошибке.

Поведение чтения во время записи

RAM имеет запись первое поведение. То, когда вы пишете в RAM, новые записывают данные, сразу доступно в выходном порту.

Параметры

Address port width

Ширина адресного бита. Минимальная битная ширина равняется 2, и максимальная битная ширина равняется 28. Значение по умолчанию равняется 8.

Порты

Блок имеет следующие порты:

din_A

Запишите данные вход для порта А RAM. Данные могут быть любой шириной. Это наследовало ширину и тип данных от входного сигнала.

Тип данных: скалярная фиксированная точка, целое число или комплекс

addr_A

Запишите адрес для порта А RAM.

Тип данных: скалярное беззнаковое целое (uintN) или фиксированная точка без знака (ufixN) с дробной длиной 0

we_A

Разрешите запись для порта А RAM. Установите we_A к true для операции записи или false для операции чтения.

Тип данных: булевская переменная

din_B

Запишите данные вход для порта B RAM. Данные могут иметь любую ширину и наследовали ширину и тип данных от входного сигнала.

Тип данных: скалярная фиксированная точка, целое число или комплекс

addr_B

Запишите адрес для порта B RAM.

Тип данных: скалярное беззнаковое целое (uintN) или фиксированная точка без знака (ufixN) с дробной длиной 0

we_B

Разрешите запись для порта B RAM. Установите we_B к true для операции записи или false для операции чтения.

Тип данных: булевская переменная

dout_A

Выходные данные от адреса порта А RAM, addr_A.

dout_B

Выходные данные от адреса порта B RAM, addr_B.

Алгоритмы

развернуть все

HDL-код, сгенерированный для блоков RAM, имеет:

  • Задержка одного такта для вывода данных чтения.

  • Никакой сигнал сброса, потому что некоторые инструменты синтеза не выводят RAM из HDL-кода, если он включает сброс.

Генерация кода для блока RAM создает отдельный файл, blockname.ext. blockname выведен из имени блока RAM. ext расширение файла выходного языка.

Расширенные возможности

Смотрите также

Блоки

Введенный в R2014a

Для просмотра документации необходимо авторизоваться на сайте