Simple Dual Port RAM

Двухпортовый RAM с одним выходным портом

Библиотека

HDL Coder / RAM HDL

Описание

Модели RAM блока Simple Dual Port RAM, который поддерживает одновременные операции чтения и операции записи, и имеет один выходной порт для считанных данных. Можно использовать этот блок, чтобы сгенерировать HDL-код, который сопоставляет с RAM в большей части FPGAs.

Simple Dual Port RAM похож на Dual Port RAM, но Dual Port RAM имеет и порт вывода данных записи и порт вывода данных чтения.

Поведение чтения во время записи

Во время операции записи, если операция чтения происходит в том же адресе, старые данные появляются при выходе.

Параметры

Address port width

Ширина адресного бита. Минимальная битная ширина равняется 2, и максимальная битная ширина равняется 29. Значение по умолчанию равняется 8.

Порты

Блок имеет следующие порты:

wr_din

Запишите данные вход. Данные могут иметь любую ширину. Это наследовало ширину и тип данных от входного сигнала.

Тип данных: скалярная фиксированная точка, целое число или комплекс

wr_addr

Запишите адрес.

Тип данных: скалярное беззнаковое целое (uintN) или фиксированная точка без знака (ufixN) с дробной длиной 0

wr_en

Разрешение записи.

Тип данных: булевская переменная

rd_addr

Считайте адрес.

Тип данных: скалярное беззнаковое целое (uintN) или фиксированная точка без знака (ufixN) с дробной длиной 0

rd_dout

Выходные данные от адреса чтения, rd_addr.

Алгоритмы

развернуть все

HDL-код, сгенерированный для блоков RAM, имеет:

  • Задержка одного такта для вывода данных чтения.

  • Никакой сигнал сброса, потому что некоторые инструменты синтеза не выводят RAM из HDL-кода, если он включает сброс.

Генерация кода для блока RAM создает отдельный файл, blockname.ext. blockname выведен из имени блока RAM. ext расширение файла выходного языка.

Расширенные возможности

Смотрите также

Блоки

Введенный в R2014a

Для просмотра документации необходимо авторизоваться на сайте