hdl.BlackBox

Черный квадрат для включения пользовательского HDL-кода

Описание

hdl.BlackBox обеспечивает способ включать пользовательский HDL-код, такой как устаревший или рукописный HDL-код, в проекте MATLAB®, предназначенном для генерации HDL-кода.

Когда вы создаете пользовательскую Систему object™, который наследовался hdl.BlackBox, вы задаете интерфейс порта и поведение симуляции, которое совпадает с вашим пользовательским HDL-кодом.

HDL Coder™ симулирует проект в MATLAB с помощью поведения, которое вы задаете в Системном объекте. Во время генерации кода, вместо того, чтобы генерировать код для поведения симуляции, кодер инстанцирует модуля с интерфейсом порта, который вы задаете в Системном объекте.

Чтобы использовать сгенерированный HDL-код в большей системе, вы включаете пользовательские исходные файлы HDL с остальной частью сгенерированного кода.

Включать пользовательский HDL-код:

  1. Создайте hdl.BlackBox объект и набор его свойства.

  2. Вызовите объект с аргументами, как будто это была функция.

Чтобы узнать больше, как Системные объекты работают, смотрите то, Что Системные объекты? MATLAB.

Примечание

Запуск в R2016b, вместо того, чтобы использовать step метод, чтобы выполнить операцию, заданную Системным объектом, можно вызвать объект с аргументами, как будто это была функция. Например, y = step(obj,x) и y = obj(x) выполните эквивалентные операции.

Создание

Синтаксис

Описание

B = hdl.BlackBox создает Системный объект черного квадрата для генерации HDL-кода.

Свойства

развернуть все

Если в противном случае не обозначено, свойства являются ненастраиваемыми, что означает, что вы не можете изменить их значения после вызова объекта. Объекты блокируют, когда вы вызываете их и release функция разблокировала их.

Если свойство является настраиваемым, можно изменить его значение в любое время.

Для получения дополнительной информации об изменении значений свойств смотрите Разработку системы в MATLAB Используя Системные объекты (MATLAB).

Примечание

Вы не можете задать часы, сбросить, и часы включают сигналы явным образом в вашей модели Simulink® при помощи AddClockEnablePort, AddClockPort и свойств AddResetPort. Вместо этого используйте эти свойства добавить часы, сбросьте, или часы включают порт в сгенерированном HDL-коде.

Если 'on', добавьте, что часы включают входной порт к интерфейсу, сгенерированному для Системного объекта черного квадрата. Имя порта задано ClockEnableInputPort.

Если 'on', добавьте входной порт часов в интерфейс, сгенерированный для Системного объекта черного квадрата. Имя порта задано ClockInputPort.

Если 'on', добавьте входной порт сброса в интерфейс, сгенерированный для Системного объекта черного квадрата. Имя порта задано ResetInputPort.

Если 'on', позвольте HDL Coder перемещать регистры через Системный объект черного квадрата от входа, чтобы вывести или вывести, чтобы ввести.

Имя HDL для часов включает входной порт в виде вектора символов.

Имя HDL для входного порта часов в виде вектора символов.

Сущность VHDL® или имя модуля Verilog® сгенерированы для Системного объекта черного квадрата в виде вектора символов.

Пример: 'myBlackBoxName'

Задержка Системного объекта черного квадрата за такты в виде целого числа.

Если 0 или больше, это значение используется в балансировке задержки.

Если-1, задержка неизвестна. Это отключает балансировку задержки.

Когда 'on', сгенерируйте настройку VHDL.

Когда 'off', не генерируйте настройку VHDL и требуйте предоставленной пользователями внешней настройки. Установите на 'off' если вы создаете свою собственную настройку VHDL.

Количество входных настроек канала связи или конвейерная глубина, чтобы вставить в сгенерированный код.

Количество выходных настроек канала связи или выхода конвейерно обрабатывает глубину, чтобы вставить в сгенерированный код.

Имя HDL для входного порта сброса в виде вектора символов.

Архитектура VHDL называет в виде вектора символов. Кодер генерирует имя архитектуры только если InlineConfigurations 'on'.

Библиотека, от которой можно загрузить компонент VHDL в виде вектора символов.

Количество дополнительных входных портов в пользовательском HDL-коде в виде положительного целого числа.

Количество дополнительных выходных портов в пользовательском HDL-коде в виде положительного целого числа.

Функции объекта

Чтобы использовать объектную функцию, задайте Системный объект как первый входной параметр. Например, чтобы выпустить системные ресурсы Системного объекта под названием obj, используйте этот синтаксис:

release(obj)

развернуть все

stepЗапустите алгоритм Системного объекта
releaseВысвободите средства и позвольте изменения в значениях свойств Системного объекта и введите характеристики
resetСбросьте внутренние состояния Системного объекта

Расширенные возможности

Генерация HDL-кода
Сгенерируйте Verilog и код VHDL для FPGA и проекты ASIC с помощью HDL Coder™.

Представленный в R2015a

Для просмотра документации необходимо авторизоваться на сайте