Скрипт синтеза

Выберите инструмент синтеза

Включите или отключите генерацию скриптов синтеза и выберите инструмент синтеза, для которого HDL Coder™ генерирует скрипты.

Настройки

Значение по умолчанию: None

None

Когда вы выбираете None, HDL Coder не генерирует скрипт синтеза. Кодер очищает и отключает поля в панели скрипта Синтеза.

Xilinx ISE

Сгенерируйте скрипт синтеза для Xilinx® ISE. Когда вы выбираете эту опцию, кодер:

  • Включает поля в панели скрипта Синтеза.

  • Наборы Synthesis file postfix к _ise.tcl

  • Заполняет Synthesis initialization, поля Synthesis command и Synthesis termination с кодом скрипта TCL для инструмента.

Microsemi Libero

Сгенерируйте скрипт синтеза для Микрополу Либеро. Когда вы выбираете эту опцию, кодер:

  • Включает поля в панели скрипта Синтеза.

  • Наборы Synthesis file postfix к _libero.tcl

  • Заполняет Synthesis initialization, поля Synthesis command и Synthesis termination с кодом скрипта TCL для инструмента.

Mentor Graphics Precision

Сгенерируйте скрипт синтеза для Наставника Graphics® Precision. Когда вы выбираете эту опцию, кодер:

  • Включает поля в панели скрипта Синтеза.

  • Наборы Synthesis file postfix к _precision.tcl

  • Заполняет Synthesis initialization, поля Synthesis command и Synthesis termination с кодом скрипта TCL для инструмента.

Altera Quartus II

Сгенерируйте скрипт синтеза для Altera® Quartus II. Когда вы выбираете эту опцию, кодер:

  • Включает поля в панели скрипта Синтеза.

  • Наборы Synthesis file postfix к _quartus.tcl

  • Заполняет Synthesis initialization, поля Synthesis command и Synthesis termination с кодом скрипта TCL для инструмента.

Synopsys Synplify Pro

Сгенерируйте скрипт синтеза для Synopsys® Synplify Pro®. Когда вы выбираете эту опцию, кодер:

  • Включает поля в панели скрипта Синтеза.

  • Наборы Synthesis file postfix к _synplify.tcl

  • Заполняет Synthesis initialization, поля Synthesis command и Synthesis termination с кодом скрипта TCL для инструмента.

Xilinx Vivado

Сгенерируйте скрипт синтеза для Xilinx Vivado®. Когда вы выбираете эту опцию, кодер:

  • Включает поля в панели скрипта Синтеза.

  • Наборы Synthesis file postfix к _vivado.tcl

  • Заполняет Synthesis initialization, поля Synthesis command и Synthesis termination с кодом скрипта TCL для инструмента.

Custom

Сгенерируйте пользовательский скрипт синтеза. Когда вы выбираете эту опцию, кодер:

  • Включает поля в панели скрипта Синтеза.

  • Наборы Synthesis file postfix к _custom.tcl

  • Заполняет Synthesis initialization, поля Synthesis command и Synthesis termination с примером код скрипта TCL.

Информация о командной строке

Свойство: HDLSynthTool
Ввод: символьный вектор
Значение: 'None' | 'ISE' | 'Libero' | 'Precision' | 'Quartus' | 'Synplify' | 'Vivado' | 'Custom'
Значение по умолчанию: 'None'

Чтобы установить это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Смотрите также

HDLSynthTool

Постфикс файла синтеза

Задайте постфикс, чтобы добавить к имени файла для сгенерированных скриптов синтеза.

Настройки

Значение по умолчанию: 'none'.

Ваш выбор инструмента синтеза (из выпадающего меню Choose synthesis tool) устанавливает постфикс для сгенерированных имен файлов синтеза к одному из следующего:

_ise.tcl
_libero.tcl
_precision.tcl
_quartus.tcl
_synplify.tcl
_vivado.tcl
_custom.tcl

Например, если именем DUT является my_designи выбором инструмента синтеза является Synopsys Synplify Pro, HDL Coder добавляет постфиксный _synplify.tcl сформировать имя my_design_synplify.tcl.

Зависимость

Использовать эту установку, Choose synthesis tool или HDLSynthTool свойство должно быть установлено в значение кроме None.

Информация о командной строке

Свойство: HDLSynthFilePostfix
Ввод: символьный вектор
Значение по умолчанию: 'none'

Чтобы установить это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Смотрите также

Инициализация синтеза

Имя формата передало fprintf записать раздел инициализации скрипта синтеза.

Настройки

Значение по умолчанию: 'none'.

Ваш выбор инструмента синтеза (из выпадающего меню Choose synthesis tool) устанавливает строку Synthesis initialization. Содержимое строки характерно для выбранного инструмента синтеза.

Значением по умолчанию является команда создания проекта синтеза, переданная как строка формата fprintf записать Init раздел скрипта синтеза. Неявный аргумент, %s, является модулем верхнего уровня или именем сущности.

Зависимость

Использовать эту установку, Choose synthesis tool или HDLSynthTool свойство должно быть установлено в значение кроме None.

Информация о командной строке

Свойство: HDLSynthInit
Ввод: символьный вектор
Значение по умолчанию: 'none'

Чтобы установить это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Смотрите также

Команда синтеза

Имя формата передало fprintf записать команду синтеза.

Настройки

Значение по умолчанию: 'none'.

Ваш выбор инструмента синтеза (из выпадающего меню Choose synthesis tool) устанавливает строку Synthesis command. Содержимое строки характерно для выбранного инструмента синтеза.

Значением по умолчанию является строка формата, переданная fprintf записать Cmd раздел скрипта синтеза. Неявный аргумент, %s, имя файла сущности или модуля.

Чтобы избежать проблем при генерации скриптов синтеза для различных инструментов, сохраните оба спецификатора формата (%s).

Зависимость

Использовать эту установку, Choose synthesis tool или HDLSynthTool свойство должно быть установлено в значение кроме None.

Информация о командной строке

Свойство: HDLSynthCmd
Ввод: символьный вектор
Значение по умолчанию: 'none'

Чтобы установить это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Смотрите также

Завершение синтеза

Задайте имя формата, которое передается fprintf записать фрагмент завершения скрипта синтеза.

Настройки

Значение по умолчанию: 'none'

Ваш выбор инструмента синтеза (из выпадающего меню Choose synthesis tool) устанавливает строку Synthesis termination. Содержимое строки характерно для выбранного инструмента синтеза.

Значением по умолчанию является имя формата, переданное fprintf записать Term раздел скрипта синтеза. Строка завершения не берет аргументы.

Зависимость

Использовать эту установку, Choose synthesis tool или HDLSynthTool свойство должно быть установлено в значение кроме None.

Информация о командной строке

Свойство: HDLSynthTerm
Ввод: символьный вектор
Значение по умолчанию: 'none'

Чтобы установить это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Смотрите также

Дополнительные файлы, чтобы добавить к проекту синтеза

Включайте дополнительный HDL или ограничительные файлы в проекте синтеза.

Настройки

Значение по умолчанию: '' (никакие добавленные файлы)

Дополнительные файлы проекта, такие как исходные файлы HDL (.v, .vhd) или ограничительные файлы (.ucf), то, что вы хотите включать в свой проект синтеза в виде вектора символов. Разделите имена файлов точкой с запятой (;).

Вы не можете использовать эту установку, чтобы включать файлы Tcl. Чтобы задать проект синтеза файлы Tcl, используйте AdditionalProjectCreationTclFiles свойство hdlcoder.WorkflowConfig объект.

Информация о командной строке

Свойство: SynthesisProjectAdditionalFiles
Ввод: символьный вектор
Значение по умолчанию: ''

Чтобы установить это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Смотрите также

Для просмотра документации необходимо авторизоваться на сайте