uvmcodegen.uvmconfig

Объект настройки UVM

    Описание

    uvmcodegen.uvmconfig объект является объектом настройки универсальной методологии верификации (UVM). Используйте этот объект сконфигурировать опции генерации UVM, такие как масштаб времени симуляции HDL.

    Создание

    Описание

    cfgUvm = uvmcodegen.uvmconfig создает объект настройки UVM по умолчанию, который конфигурирует параметры для сгенерированного кода SystemVerilog.

    пример

    cfgUvm = uvmcodegen.uvmconfig(Name,Value) свойства наборов с помощью одного или нескольких аргументов пары "имя-значение". Заключите каждое имя свойства в кавычки. Например, uvmcodegen.uvmConfig('timescale','1ps/1ps') задает объект настройки UVM с подписью масштаба времени одной пикосекунды для единицы измерения времени и одной пикосекунды для точности симуляции HDL.

    Свойства

    развернуть все

    Директива масштаба времени симулятора HDL в виде 'T u/Tp', где T u является единицей измерения времени и T p, является точностью времени.

    Пример: 10us/100ns задает единицу измерения времени десяти микросекунд с точностью симуляции HDL ста наносекунд.

    Типы данных: char | string

    Примеры

    свернуть все

    Сконфигурируйте сгенерированный испытательный стенд UVM к масштабу времени 1ns/1ps. В этом случае единица измерения времени является одной наносекундой с точностью симуляции одной пикосекунды.

    cfgUVM=uvmcodegen.uvmconfig('timescale','1ns/1ps')
    cfgUVM = 
      uvmconfig with properties:
    
        timescale: '1ns/1ps'
    
    
    Введенный в R2020b
    Для просмотра документации необходимо авторизоваться на сайте