Чтобы косимулировать код HDL с помощью дизайна MATLAB ® или Simulink ®, необходимо сначала:
Решите, как подключить имитатор HDL к MATLAB или Simulink. В настройке косимуляции может быть один или несколько модулей HDL. Модули представлены matlabcp и matlabtb функции для MATLAB или блоки косимуляции HDL для Simulink. См. раздел Конфигурации косимуляции.
Запустите имитатор ЛПВП из MATLAB или из оболочки. Для межсетевого моделирования необходимо использовать оболочку, например, если имитатор HDL работает на другом компьютере, отличном от хоста MATLAB. Запуск симулятора из MATLAB позволяет указать библиотеку по имени, а не по точному пути. См. раздел Запуск симулятора HDL.
Если требуется нестандартная библиотека или пользовательское расположение библиотеки, укажите библиотеку при запуске имитатора HDL. При запуске имитатора HDL из MATLAB используйте имя библиотеки. При запуске имитатора ЛПВП из оболочки используйте путь к библиотеке. См. раздел Библиотеки Cosimulation.
При необходимости используйте сценарий настройки и диагностики для настройки расположения библиотеки и тестирования TCP/IP-соединения. Этот сценарий поддерживается только для компьютеров Linux ®. Для компьютеров с ОС Windows ® можно создать файл конфигурации. См. раздел Настройка конфигурации и выполнение диагностики.
Существует несколько способов подключения имитатора HDL к конструкции в MATLAB или Simulink. Имитатор HDL можно запустить на том же или другом хост-компьютере, что и MATLAB. Каждый имитатор ЛПВП может подключаться к одной или нескольким функциям в MATLAB или к одному или нескольким блокам косимуляции ЛПВП в модели Simulink. В сетевой конфигурации для идентификации серверов приложений используйте адрес Интернета и порт сокета TCP/IP.
Примечание
Экземпляр MATLAB может запускать только один экземпляр сервера MATLAB (hdldaemon) за один раз.
Каждый имитатор HDL должен взаимодействовать с уникальным экземпляром сервера MATLAB.
Обмен данными в общей памяти - это опция для конфигураций, для которых требуется только один канал связи в одной вычислительной системе.
Связь с сокетом TCP/IP необходима для конфигураций, которые используют несколько каналов связи в одной или нескольких вычислительных системах. Уникальные порты сокетов TCP/IP различают каналы связи.
| Сеанс имитатора HDL, подключенный к функции MATLAB через один экземпляр сервера MATLAB. |
|
| Сеанс имитатора HDL, подключенный к нескольким функциям MATLAB через один экземпляр сервера MATLAB. |
|
| Сеанс имитатора HDL, подключенный к функции MATLAB через несколько экземпляров сервера MATLAB. Каждый экземпляр выполняется в области уникального сеанса MATLAB). Эта конфигурация не поддерживается мастером косимуляции. |
|
| Несколько сеансов имитатора HDL, каждый из которых подключен к функции MATLAB через несколько экземпляров сервера MATLAB. Каждый экземпляр выполняется в области уникального сеанса MATLAB. |
|
| Блок косимуляции ЛПВП в модели Simulink, подключенный к одному сеансу имитатора ЛПВП. |
|
| Несколько блоков косимуляции ЛПВП в модели Simulink, подключенных к одному сеансу имитатора ЛПВП. Эта конфигурация не поддерживается мастером косимуляции. Можно объединить несколько модулей ЛПВП в модуль более высокого уровня, чтобы включить их в один блок косимуляции ЛПВП. |
|
| Несколько блоков косимуляции ЛПВП в модели Simulink, подключенных к различным сеансам имитатора ЛПВП. Блоки косимуляции HDL в модели Simulink могут подключаться к одним и тем же или различным сеансам имитатора HDL. Каждый блок косимуляции ЛПВП может взаимодействовать только с одним имитатором ЛПВП. |
|
Перед запуском имитатора ЛПВП запустите сервер косимуляции с помощью hdldaemon функция.
Имитатор ЛПВП можно запустить из MATLAB или из оболочки. Для межсетевого моделирования необходимо использовать оболочку, например, если имитатор HDL работает на другом компьютере, отличном от хоста MATLAB. Запуск симулятора из MATLAB позволяет указать библиотеку по имени, а не по точному пути.
Каждый поддерживаемый имитатор HDL имеет уникальную команду, которая открывает его из MATLAB.
Примечание
При использовании мастера косимуляции не требуется запускать имитатор ЛПВП отдельно.
| Имитатор ЛПВП | Команда для открытия симулятора | Пример |
|---|---|---|
| Cadence Incisive ® | nclaunch | Запуск Cadence Incisive из MATLAB |
| Mentor Graphics ® ModelSim ® | vsim | Запуск Mentor Graphics ModelSim из MATLAB |
В любой функции можно указать библиотеку HDL Verifier, конструкцию для загрузки, тип информации о соединении и другие требуемые параметры в качестве аргументов пары имя-значение. Специальная настройка не требуется. См. раздел Библиотеки Cosimulation.
Эта функция запускает и конфигурирует имитатор HDL для использования с программным обеспечением HDL Verifier™. По умолчанию функция запускает первую версию исполняемого файла симулятора, найденную на системном пути, как определено path переменная. Эта функция использует временный файл, который перезаписывается при каждом запуске имитатора ЛПВП.
Можно настроить файл запуска и режим связи для использования между MATLAB или Simulink и имитатором HDL, указав пары имя-значение при вызове функции. Сведения о свойствах см. в разделе nclaunch или vsim.
Чтобы запустить исполняемую версию симулятора, отличную от первой, найденной в системном пути, используйте setenv и getenv Функции MATLAB для установки и получения среды любых субкорпусий, порождаемых UNIX(), DOS(), или system().
При указании режима связи при вызове одной из функций, открывающих имитатор HDL, указанный режим применяется ко всем сеансам имитатора HDL, подключенным к MATLAB или Simulink.
Дополнительные сведения о том, как программа HDL Verifier связывает имитатор HDL с MATLAB, см. в разделе Связывание с MATLAB и имитатором HDL.
Полный пример косимуляции, демонстрирующий запуск имитатора ЛПВП из MATLAB, см. в разделе Проверка модуля ЛПВП с помощью тестового стенда MATLAB.
Для диагностики настройки косимуляции и настройки настройки для будущих вызовов функций, которые открывают имитатор ЛПВП, выполните процесс в разделе Настройка конфигурации и запуск диагностики.
Запуск Cadence Incisive из MATLAB. Для запуска имитатора Cadence Incisive HDL из MATLAB в командной строке MATLAB введите:
nclaunch('PropertyName', 'PropertyValue', ...)
В этом примере расположение папки изменяется на VHDLproj а затем открывает Insisive ®. Потому что в командной строке отсутствует 'hdlsimdir' и 'startupfile' свойства, nclaunch создает временный файл. 'tclstart' свойство задает команды Tcl, которые загружают и инициализируют имитатор HDL для экземпляра тестового стенда modsimrand.
cd VHDLproj nclaunch('tclstart',... 'hdlsimmatlab modsimrand; matlabtb modsimrand 10 ns -socket 4449')
В этом примере расположение папки изменяется на VHDLproj а затем открывает Incisive. Потому что вызов функции пропускает 'hdlsimdir' и 'startupfile' свойства, nclaunch создает временный файл. 'tclstart' свойство задает команду Tcl, которая загружает объект VHDL ®parse в библиотеке work для косимуляции между nclaunch и Симулинк. 'socketsimulink' указывает связь сокета TCP/IP на том же компьютере, используя порт 4449.
cd VHDLproj nclaunch('tclstart', 'hdlsimulink work.parse', 'socketsimulink', '4449')
Запустите Mentor Graphics ModelSim из MATLAB. Для запуска имитатора Mentor Graphics ModelSim HDL из MATLAB в командной строке MATLAB введите:
vsim('PropertyName','PropertyValue', ...)
В этом примере расположение папки изменяется на VHDLproj а затем открывает ModelSim. Потому что vsim вызов пропускает 'vsimdir' и 'startupfile' , функция создает временный DO-файл. 'tclstart' свойство задает команды Tcl, которые загружают и инициализируют имитатор HDL для экземпляра тестового стенда modsimrand.
cd VHDLproj vsim('tclstart','vsimmatlab modsimrand; matlabtb modsimrand 10 ns -socket 4449')
В этом примере расположение папки изменяется на VHDLproj а затем открывает ModelSim. Потому что vsim вызов пропускает 'vsimdir' и 'startupfile' свойства, vsim создает временный DO-файл. 'tclstart' свойство задает команду Tcl, которая загружает объект VHDL parse в библиотеке work для косимуляции между vsim и Симулинк. 'socketsimulink' свойство указывает связь сокета TCP/IP на том же компьютере, используя порт сокета 4449.
cd VHDLproj vsim('tclstart','vsimulink work.parse','socketsimulink','4449')
В этом примере приведены команды Tcl, выполняющие компиляцию и моделирование HDL при запуске программного обеспечения ModelSim.
vsim('tclstart',{'vlib work','vlog +acc clocked_inverter.v hdl_top.v','vsim +acc hdl_top'});
В этом примере загружается hdl_top модуль для симуляции. vsimulink команда также указывает номер сокета 5678 для связи с блоками косимуляции HDL в моделях Simulink и задает точность времени HDL 10 ps. Указание сокета таким образом эквивалентно использованию socketsimulink имущества vsim функция.
vsim('tclstart', … {'vlib work', 'vlog -voptargs=+acc clocked_inverter.v hdl_top.v', … 'vsimulink hdl_top -socket 5678 -t 10ps'});
Прежде чем запустить имитатор ЛПВП из оболочки и включить библиотеки Verifier ЛПВП, сначала запустите сценарий конфигурации. Файл конфигурации, созданный сценарием, сохраняется для будущих сеансов косимуляции. См. раздел Настройка конфигурации и выполнение диагностики.
Запуск Cadence Incisive из оболочки. Если файл конфигурации уже имеется, в окне оболочки выполните следующее:
ncsim -f configfile modelname
configfile - имя файла конфигурации. Необходимо также указать путь к файлу конфигурации, даже если он находится в той же папке, что и vsim.exe. При включении design_name аргумент, ncsim.exe вызов также запускает моделирование. Можно также указать любые другие используемые файлы конфигурации.
Запустите Mentor Graphics ModelSim из оболочки. Если файл конфигурации уже имеется, в окне оболочки выполните следующее:
vsim design_name -f configfile
configfile - имя файла конфигурации. Необходимо также указать путь к файлу конфигурации, даже если он находится в той же папке, что и vsim.exe. При включении design_name аргумент, vsim вызов также запускает моделирование.
Файл конфигурации определяет -foreign опция для vsim. Этот параметр загружает общую библиотеку HDL Verifier и указывает ее точку входа. Можно также указать любые другие используемые файлы конфигурации.
Если созданный конфигурационный файл не используется, для загрузки общей библиотеки клиента и указания ее точки входа откройте vsim с такой командой, как:
vsim design_name -foreign matlabclient /path/library
где path - путь к библиотеке косимуляции Verifier HDL. См. раздел Библиотеки Cosimulation (Cosimulation Libraries), чтобы найти соответствующее имя библиотеки для компьютера.
Примечание
Эту команду также можно вызвать из имитатора HDL.
Рекомендуется использовать один и тот же компилятор для всех библиотек, связанных с одним и тем же исполняемым файлом. Версии библиотеки HDL Verifier для компиляторов, поддерживаемых имитаторами HDL. Использование тех же библиотек помогает программе косимуляции оставаться совместимой с другими библиотеками C++, которые можно связать с симулятором HDL, включая библиотеки SystemC.
Если применяется любое из этих условий, выберите версию библиотеки HDL Verifier, которая соответствует компилятору, используемому для этого кода:
Вы связываете другие приложения сторонних производителей с вашим имитатором HDL.
Вы компилируете и связываете код SystemC как часть вашего проекта или тестового стенда.
Вы связываете пользовательские приложения C/C + + с вашим имитатором HDL.
Если другой код не связан с имитатором HDL, можно использовать любую версию поставляемых библиотек. Функция открытия имитатора ЛПВП (nclaunch или vsim) выбирает версию этой библиотеки по умолчанию.
Примеры определения библиотек HDL Verifier при косимуляции в сети см. в разделе Межсетевая косимуляция.
Библиотеки косимуляции HDL Verifier используют следующий формат именования:
edalink/extensions/{version}/{arch}/lib{version_short_name}{client_server_tag}_{compiler_tag).{libext}
| Аргумент | Резкие значения | Значения ModelSim |
|---|---|---|
version | incisive | modelsim |
arch | linux64 | linux64, windows32, или windows64 |
version_short_name |
lfihdl | lfmhdl |
client_server_tag | MATLAB: cСимулинк: s | MATLAB: cСимулинк: s |
compiler_tag | gcc41, gcc44 | Linux: Примечание gcc450vc12 или gcc421vc12 требует распространения Visual Studio ® 2013, доступного в Microsoft ®. |
libext | so | dll или so |
Поддерживаются не все комбинации. Допустимые комбинации см. в разделе Библиотеки по умолчанию.
Дополнительные сведения о компиляторах сборки MATLAB см. в разделе Компиляторы сборки MATLAB.
Сценарии HDL Verifier полностью поддерживают использование библиотек по умолчанию. В таблице перечислены библиотеки, поставляемые с HDL Verifier для каждого поддерживаемого имитатора HDL. Библиотеки по умолчанию для каждой платформы выделены жирным шрифтом.
Библиотеки Cadence Incisive
| Платформа | Библиотека MATLAB | Библиотека Simulink |
|---|---|---|
| Linux 64 |
|
|
Библиотеки Mentor Graphics ModelSim
| Платформа | Библиотека MATLAB | Библиотека Simulink |
|---|---|---|
| Linux 64 |
|
|
| Windows 32 |
|
|
| Windows 64 | liblfmhdlc_tmwvs.dllliblfmhdlc_gcc450.dll | liblfmhdls_tmwvs.dllliblfmhdls_gcc450.dll |
Можно использовать другую библиотеку на стороне HDL, указав libfile пара имя-значение при вызове nclaunch или vsim функция. Выберите версию библиотеки, соответствующую компилятору и системным библиотекам, используемым для любых других библиотек C/C + +, связанных с имитатором HDL. В зависимости от версии имитатора HDL может потребоваться явно задать дополнительные пути в LD_LIBRARY_PATH переменная среды.
Например, чтобы использовать библиотеку по умолчанию, выполните следующие действия.
Скопируйте системные библиотеки из установки MATLAB в машину с помощью имитатора HDL. Системные библиотеки установлены в .matlabroot/sys/os
Изменение LD_LIBRARY_PATH для добавления пути к скопированным системным библиотекам.
Указать альтернативную библиотеку с помощью nclaunch. В этом примере показаны параметры библиотеки для моделирования HDL, которое ссылается на пользовательское приложение C++, скомпилированное с помощью gcc44. Поэтому симулятор должен использовать библиотеки косимуляции, скомпилированные с gcc44, вместо использования библиотеки по умолчанию. И MATLAB, и Incisive работают на одной 64-разрядной машине Linux.
Изменение PATH переменной так, что nclaunch функция находит требуемую версию имитатора ЛПВП. Затем укажите имя библиотеки с помощью libfile пара имя-значение. В командной строке MATLAB введите:
currPath = getenv('PATH'); setenv('PATH',['/tools/IUS-1110/bin:' currPath]); nclaunch('tclstart',{'exec ncvhdl -64bit inverter.vhd', ... 'exec ncelab -64bit -access +rwc inverter', ... 'hdlsimulink -gui inverter' }, ... 'libfile','liblfihdls_gcc44');
Проверьте разрешение библиотеки с помощью ldd в пределах ncsim консоль.
exec ldd /path/to/matlab/toolbox/edalink/extensions/incisive/linux64/liblfihdls_gcc44.so
linux-vdso.so.1 => (0x00007fff2ffff000)
libpthread.so.0 => /lib/x86_64-linux-gnu/libpthread.so.0 (0x00007f98361a0000)
libstdc++.so.6 => /usr/lib/x86_64-linux-gnu/libstdc++.so.6 (0x00007f9835e99000)
libm.so.6 => /lib/x86_64-linux-gnu/libm.so.6 (0x00007f9835c16000)
libgcc_s.so.1 => /lib/x86_64-linux-gnu/libgcc_s.so.1 (0x00007f9835a00000)
libc.so.6 => /lib/x86_64-linux-gnu/libc.so.6 (0x00007f9835676000)
/lib64/ld-linux-x86-64.so.2 (0x00007f983661c000)
Укажите альтернативную библиотеку для надрезов с помощью системной оболочки. В этом примере показано, как запустить Incisive с явной опцией для задания библиотеки косимуляции. Запустить Incisive можно с системной оболочки на том же компьютере, что и MATLAB, на другом компьютере или на компьютере с другой операционной системой.
Этот пример кода работает в 64-разрядной версии Linux Insisive. Неважно, на какой машине работает MATLAB. Вместо использования библиотеки по умолчанию в дистрибутиве Incisive в этом примере используется библиотека, скомпилированная с GCC 4.4.
Изменение PATH переменная для указания на требуемую версию имитатора ЛПВП. Хотя ncsim находит любые библиотеки GCC в установке, в этом примере изменяется LD_LIBRARY_PATH демонстрационный ролик об использовании пользовательской установки GCC. В системной оболочке, совместимой с csh, введите:
setenv PATH /tools/ius/lnx/tools/bin/64bit:${PATH}
setenv LD_LIBRARY_PATH /tools/ius/lnx/tools/systemc/gcc/4.4-x86_64/install/lib64:${LD_LIBRARY_PATH}
ncvhdl -64bit inverter.vhd
ncelab -64bit -access +rwc inverter
ncsim -tcl -loadvpi /tools/matlab/toolbox/edalink/extensions/incisive/linux64/liblfihdlc_gcc44:matlabclient inverter.vhd
Можно проверить разрешение библиотеки с помощью ldd, как и в предыдущем примере.
Указать альтернативную библиотеку с помощью vsim. В этом примере показаны параметры библиотеки для моделирования HDL, в котором используются некоторые приложения SystemC, скомпилированные с помощью gcc450. Эту версию GCC с соответствующими системными библиотеками можно загрузить из Mentor Graphics. Поэтому симулятор должен использовать библиотеки косимуляции, скомпилированные с gcc450, вместо использования библиотеки по умолчанию. И MATLAB, и ModelSim работают на одной 64-разрядной машине Linux.
Изменение PATH переменной так, что vsim функция находит требуемую версию имитатора ЛПВП. ИзменениеLD_LIBRARY_PATH поскольку имитатор HDL не добавляет путь к системным библиотекам. Затем укажите имя библиотеки с помощью libfile пара имя-значение. В командной строке MATLAB введите:
currPath = getenv('PATH'); currLdPath = getenv('LD_LIBRARY_PATH'); setenv('PATH',['/tools/modelsim-10.1c/bin:' currPath]); setenv('LD_LIBRARY_PATH',['/tools/modelsim-10.1c/gcc-4.5.0-linux/lib:' currLdPath]); vsim('tclstart',{'vlib work','vcom inverter.vhd','vsimulink inverter'}, ... 'libfile','liblfmhdls_gcc450');
Проверьте разрешение библиотеки с помощью ldd в пределах vsim ГРАФИЧЕСКИЙ ИНТЕРФЕЙС ПОЛЬЗОВАТЕЛЯ.
exec ldd /path/to/matlab/toolbox/edalink/extensions/modelsim/linux64/liblfmhdls_gcc450.so
linux-vdso.so.1 => (0x00007fff06652000)
libpthread.so.0 => /lib/x86_64-linux-gnu/libpthread.so.0 (0x00007f505083d000)
libstdc++.so.6 => /usr/lib/x86_64-linux-gnu/libstdc++.so.6 (0x00007f5050536000)
libm.so.6 => /lib/x86_64-linux-gnu/libm.so.6 (0x00007f50502b3000)
libgcc_s.so.1 => /lib/x86_64-linux-gnu/libgcc_s.so.1 (0x00007f505009d000)
libc.so.6 => /lib/x86_64-linux-gnu/libc.so.6 (0x00007f504fd13000)
/lib64/ld-linux-x86-64.so.2 (0x00007f5050cb8000)
Укажите альтернативную библиотеку ModelSim с помощью системной оболочки. В этом примере показано, как запустить ModelSim с явной опцией для задания библиотеки косимуляции. ModelSim можно запустить из системной оболочки на том же компьютере, что и MATLAB, на другом компьютере или на компьютере с другой операционной системой.
Этот пример кода работает в 64-разрядной версии ModelSim для Linux. Неважно, на какой машине работает MATLAB. Вместо использования библиотеки по умолчанию в распределении ModelSim в этом примере используется библиотека, скомпилированная с GCC 4.5.0. Эту версию GCC с соответствующими системными библиотеками можно загрузить из Mentor Graphics.
Изменение PATH переменная для указания на требуемую версию имитатора ЛПВП. ИзменениеLD_LIBRARY_PATH поскольку симулятор HDL не добавляет путь к системным библиотекам, если только GCC не сохранен в корне установки ModelSim. В системной оболочке, совместимой с csh, введите:
setenv PATH /tools/questasim/bin:${PATH}
setenv LD_LIBRARY_PATH /tools/mtigcc/gcc-4.5.0-linux_x86_64/lib64:${LD_LIBRARY_PATH}
setenv MTI_VCO_MODE 64
vlib work
vcom +acc+inverter inverter.vhd
vsim +acc+inverter -foreign \
"matlabclient /tools/matlab/toolbox/edalink/extensions/modelsim/linux64/liblfmhdlc_gcc450.so" \
work.inverterМожно проверить разрешение библиотеки с помощью ldd, как и в предыдущем примере.
Если имитатор HDL установлен в UNIX ® или Linux, можно использовать управляемый сценарий установки (syscheckmq для ModelSim и syscheckin for Incisive) для настройки соединений MATLAB и Simulink с симулятором. Этот сценарий работает независимо от того, установлены ли средства проверки HDL и MATLAB на той же машине, что и имитатор HDL, или на разных машинах. Сценарий установки создает файл конфигурации, содержащий расположение указанных библиотек косимуляции для MATLAB и Simulink. Можно также использовать сценарий установки для диагностики проблем с подключением или библиотекой.
Для имитаторов Windows HDL можно вручную создать файл конфигурации, следуя инструкциям в разделе Создание файлов конфигурации для Windows.
Затем этот файл можно включить при запуске имитатора HDL. Этот сценарий нужно запустить только один раз.
Сценарии установки можно найти в этой папке:
matlabroot/toolbox/edalink/foundation/hdllink/scriptsДополнительные сведения о библиотеках см. в разделе Библиотеки Cosimulation.
После создания файлов конфигурации см. раздел Запуск симулятора HDL из оболочки.
В этом примере показано, как запустить сценарий установки и проверить соединение TCP/IP при следующих условиях:
Вы установили HDL Verifier на 64-разрядную машину Linux.
Библиотеки HDL Verifier перемещены в папку, отличную от папки по умолчанию. Или вы перенесли их на другой компьютер, отличный от установки MATLAB.
Каденс Инсайсив (syscheckin). Запуск сценария с помощью ввода syscheckin в системном запросе. Система возвращает следующую информацию:
% syscheckin ******************************************************************************** Kernel name: Linux Kernel release: 2.6.22.8-mw017 Machine: x86_64 ********************************************************************************
Сценарий сначала возвращает местоположение установки имитатора ЛПВП (ncsim.exe). Если установка не найдена, выдается сообщение об ошибке. Укажите путь к установке или выйдите из сценария и установите имитатор HDL. Вам будет предложено принять эту установку или указать путь к другой установке, после чего вы получите сообщение, подтверждающее установку имитатора HDL:
Found /hub/share/apps/HDLTools/IUS/IUS-61-tmw-000/lnx/tools/bin/64bit/ncsim on the path. Press Enter to use the path we found or enter another one: ******************************************************************************** /hub/share/apps/HDLTools/IUS/IUS-61-tmw-000/lnx/tools/bin/64bit/ncsim -version TOOL: ncsim(64) 06.11-s005 Cadence Incisive mode: 64 bits ********************************************************************************
Затем укажите, где скрипт может найти библиотеки HDL Verifier.
Select method to search for HDL Verifier libraries: 1. Use libraries in a MATLAB installation. 2. Prompt me to specify the direct path to the libraries. 2 Enter the path to liblfihdlc_gcc44.so and liblfihdls_gcc44.so: tmp/extensions/incisive/linux64 Found /tmp/extensions/incisive/linux64/liblfihdlc_gcc44.so and /tmp/extensions/incisive/linux64/liblfihdls_gcc44.so.
Затем сценарий запускает средство проверки зависимостей для проверки поддержки библиотек. Если не удается найти библиотеки, добавьте путь к среде, чтобы найти их.
********************************************************************************
Running dependency checker "ldd /tmp/extensions/incisive/linux64/liblfihdlc_gcc44.so".
Dependency checker passed.
Dependency status:
librt.so.1 => /lib/librt.so.1 (0x00002b6119631000)
libstdc++.so.5 => /usr/lib/libstdc++.so.5 (0x00002b611973a000)
libm.so.6 => /lib/libm.so.6 (0x00002b6119916000)
libgcc_s.so.1 => /lib/libgcc_s.so.1 (0x00002b6119a99000)
libc.so.6 => /lib/libc.so.6 (0x00002b6119ba6000)
libpthread.so.0 => /lib/libpthread.so.0 (0x00002b6119de3000)
/lib64/ld-linux-x86-64.so.2 (0x0000555555554000)
********************************************************************************
Далее сценарий загружает библиотеки HDL Verifier и компилирует тестовый модуль для проверки того, что библиотеки загружены так, как ожидалось.
Press Enter to load HDL Verifier or enter 'n' to skip this test: ncvlog(64): 06.11-s005: (c) Copyright 1995-2007 Cadence Design Systems, Inc. define linux64 /work/matlab/toolbox/incisive/linux64 . . . ncsim> exit ******************************************************************************** HDL Verifier libraries loaded successfully. ********************************************************************************
Затем сценарий проверяет TCP-соединение. Если пропустить этот шаг, в файле конфигурации будет указано использование общей памяти. Конфигурации общей памяти и сокета находятся в файле конфигурации. В зависимости от выбора, одна или другая конфигурация комментируется.
Press Enter to check for TCP connection or enter 'n' to skip this test: Enter an available port [5001] Enter remote host [localhost] Press Enter to continue ttcp_glnx -t -p5001 localhost Connection successful
Наконец, сценарий создает файлы конфигурации. Он создает файлы как для MATLAB, так и для Simulink.
******************************************************************************** Press Enter to Create Configuration files or 'n' to skip this step: ******************************************************************************** Created template files simulink9675.arg and matlab8675.arg. Inspect and modify if desired. ******************************************************************************** Diagnosis Completed
Имена файлов различаются при каждом запуске этого сценария.
После завершения сценария можно оставить файлы конфигурации в том месте, где они находятся, или переместить их в удобное место. Теперь используйте файл при запуске имитатора ЛПВП из оболочки.
Mentor Graphics ModelSim (syscheckmq). Запуск сценария с помощью ввода syscheckmq в системном запросе. Система возвращает следующую информацию:
syscheckmq ******************************************************************************** Kernel name: Linux Kernel release: 2.6.22.8-mw017 Machine: x86_64 ********************************************************************************
Сценарий сначала возвращает местоположение установки имитатора ЛПВП (vsim.exe). Если установка не найдена, выдается сообщение об ошибке. Укажите путь к установке или выйдите из сценария и установите имитатор HDL. Вам будет предложено принять эту установку или указать путь к другой установке, после чего вы получите сообщение, подтверждающее установку имитатора HDL.
Found /hub/share/apps/HDLTools/ModelSim/modelsim-6.4a-tmw-000/modeltech/bin/vsim
on the path.
Press Enter to use the path we found or enter another one:
********************************************************************************
/hub/share/apps/HDLTools/ModelSim/modelsim-6.4a-tmw-000/modeltech/bin/vsim -version
Model Technology ModelSim SE-64 vsim 6.4a Simulator 2008.08 Aug 28 2008
ModelSim mode: 32 bits
********************************************************************************
Затем укажите, где скрипт может найти библиотеки HDL Verifier.
Select method to search for HDL Verifier libraries: 1. Use libraries in a MATLAB installation. 2. Prompt me to specify the direct path to the libraries. 2 Enter the path to liblfmhdlc_gcc450.so and liblfmhdls_gcc450.so: /tmp/extensions/modelsim/linux64 Found /tmp/extensions/modelsim/linux64/liblfmhdlc_gcc450.so and /tmp/extensions/modelsim/linux64/liblfmhdls_gcc450.so.
Затем сценарий запускает средство проверки зависимостей для проверки поддержки библиотек. Если не удается найти библиотеки, добавьте путь к среде, чтобы найти их.
********************************************************************************
Running dependency checker "ldd /tmp/extensions/modelsim/linux64/liblfmhdlc_gcc450.so".
Dependency checker passed.
Dependency status:
librt.so.1 => /lib/librt.so.1 (0x00002acfe566e000)
libstdc++.so.6 => /usr/lib/libstdc++.so.6 (0x00002acfe5778000)
libm.so.6 => /lib/libm.so.6 (0x00002acfe5976000)
libgcc_s.so.1 => /lib/libgcc_s.so.1 (0x00002acfe5af8000)
libc.so.6 => /lib/libc.so.6 (0x00002acfe5c6000)
/lib64/ld-linux-x86-64.so.2 (0x0000555555554000)
********************************************************************************
Далее сценарий загружает библиотеки HDL Verifier и компилирует тестовый модуль для проверки того, что библиотеки загружены так, как ожидалось.
Press Enter to load HDL Verifier or enter 'n' to skip this test:
Reading /hub/share/apps/HDLTools/ModelSim/modelsim-6.4a-tmw-000/se/modeltech/
linux_x86_64/../modelsim.ini "worklfx9019" maps to directory worklfx9019.
(Default mapping)
Model Technology ModelSim SE-64 vlog 6.4a Compiler 2008.08 Aug 28 2008
-- Compiling module d9019
Top level modules:
d9019
********************************************************************************
Reading /hub/share/apps/HDLTools/ModelSim/modelsim-6.4a-tmw-000/se/modeltech/tcl
/vsim/pref.tcl
# 6.4a
# vsim -do exit -foreign {matlabclient /tmp/lfmconfig/linux64/liblfmhdlc_gcc450.so}
-noautoldlibpath -c worklfx9019.d9019
# // ModelSim SE-64 6.4a Aug 28 Linux 2.6.22.8-mw017
.
.
.
# Loading work.d9019
# Loading /tmp/lfmconfig/linux64/liblfmhdlc_gcc450.so
# exit
********************************************************************************
HDL Verifier libraries loaded successfully.
********************************************************************************
Затем сценарий проверяет TCP-соединение. Если пропустить этот шаг, в файле конфигурации будет указано использование общей памяти. Конфигурации общей памяти и сокета находятся в файле конфигурации. В зависимости от выбора, одна или другая конфигурация комментируется.
Press Enter to check for TCP connection or enter 'n' to skip this test: Enter an available port [5001] Enter remote host [localhost] Press Enter to continue ttcp_glnx -t -p5001 localhost Connection successful
Наконец, сценарий создает файлы конфигурации. Он создает файлы как для MATLAB, так и для Simulink.
******************************************************************************** Press Enter to Create Configuration files or 'n' to skip this step: ******************************************************************************** Created template files simulink9675.arg and matlab8675.arg. Inspect and modify if desired. ******************************************************************************** Diagnosis Completed
Имена файлов различаются при каждом запуске этого сценария.
После завершения сценария можно оставить файлы конфигурации в том месте, где они находятся, или переместить их в удобное место. Теперь используйте файл при запуске имитатора ЛПВП из оболочки.
Сценарий установки не выполняется в Windows. Однако если имитатор HDL работает в Windows, можно вручную создать файлы конфигурации. Для Windows поддерживается только симулятор ModelSim HDL.
Файл конфигурации должен содержать -foreign аргумент, используемый для вызовов vsim. Укажите путь к общей библиотеке HDL Verifier, которую требуется вызвать. См. Библиотеки Cosimulation. Строки комментариев, помеченные //, являются необязательными.
Для получения дополнительной информации о -foreign обратитесь к документации ModelSim.
Создайте файл конфигурации MATLAB:
//Command file for HDL Verifier MATLAB library //for use with Mentor Graphics ModelSim. //Loading of foreign Library, usage example: vsim -f matlab14455.arg entity. //You can manually change the following line to point to the applicable library. //The default location of the 32-bit Windows library is at //MATLABROOT/toolbox/edalink/extensions/modelsim/windows32/liblfmhdlc_gcc421vc12.dll. -foreign "matlabclient c:/path/liblfmhdlc_gcc421vc12.dll"
Создайте файл конфигурации Simulink:
//Command file for HDL Verifier Simulink library //for use with Mentor Graphics ModelSim. //Loading of foreign Library, usage example: vsim -f simulink14455.arg entity. //You can manually change the following line to point to the applicable library. //For example the default location of the 32-bit Windows library is at //MATLABROOT/toolbox/edalink/extensions/modelsim/windows32/liblfmhdls_gcc421vc12.dll. //For socket connection uncomment and modify the following line: -foreign "simlinkserver c:/path/liblfmhdls_gcc421vc12.dll ; -socket 5001" //For shared connection uncomment and modify the following line: //-foreign "simlinkserver c:/path/liblfmhdls_gcc421vc12.dll"
Примечание
В этом примере показано -foreign синтаксис для соединений общей памяти и сокетов. Прокомментируйте тот тип связи, который вы не используете. Если используется сокет TCP/IP, убедитесь, что номер порта, используемый в этом файле конфигурации, доступен.
Сохраните файл конфигурации в удобном месте. Теперь используйте файл при запуске имитатора HDL из оболочки.