Сгенерируйте параметры меток оператора и вектора и образца компонента

Эта страница описывает параметры, которые находятся на вкладке HDL Code Generation > Global Settings > General диалогового окна Параметров конфигурации.

Блок генерирует метку

Задайте постфикс для меток блоков, используемых для HDL- GENERATE операторы.

Настройки

По умолчанию: '_gen'

Задайте постфикс как вектор символов. HDL Coder™ добавляет постфикс к блочным меткам, используемым для HDL- GENERATE операторы.

Информация о командной строке

Свойство: BlockGenerateLabel
Тип: Вектор символов
По умолчанию: '_gen'

Чтобы задать это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Выход сгенерировать метку

Задайте постфикс, чтобы вывести метки блоков назначения для VHDL® GENERATE операторы.

Настройки

По умолчанию: 'outputgen'

Задайте постфикс как вектор символов. HDL Coder добавляет этот постфикс к выходным меткам блоков назначения в VHDL GENERATE операторы.

Информация о командной строке

Свойство: OutputGenerateLabel
Тип: Вектор символов
По умолчанию: 'outputgen'

Чтобы задать это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Образец генерирует метку

Задайте текст для добавления к меткам разделов экземпляров в VHDL GENERATE операторы.

Настройки

По умолчанию: '_gen'

Задайте постфикс как вектор символов. HDL Coder добавляет постфикс к меткам разделов экземпляров в VHDL- GENERATE операторы.

Информация о командной строке

Свойство: InstanceGenerateLabel
Тип: Вектор символов
По умолчанию: '_gen'

Чтобы задать это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Префикс вектора

Укажите префикс к именам векторов в сгенерированном коде.

Настройки

По умолчанию: 'vector_of_'

Задайте префикс как вектор символов. HDL Coder добавляет этот префикс к именам векторов в сгенерированном коде.

Информация о командной строке

Свойство: VectorPrefix
Тип: Вектор символов
По умолчанию: 'vector_of_'

Чтобы задать это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Образец

Задайте постфикс для сгенерированных имен образцов компонентов.

Настройки

По умолчанию: '' (постфикс не добавлен)

Задайте постфикс как вектор символов. HDL Coder добавляет постфикс к именам образцов компонентов в сгенерированном коде.

Информация о командной строке

Свойство: InstancePostfix
Тип: Вектор символов
По умолчанию: ''

Чтобы задать это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Образец префикс

Укажите префикс для сгенерированных имен образцов компонентов.

Настройки

По умолчанию: 'u_'

Задайте префикс как вектор символов. HDL Coder добавляет префикс к именам образцов компонентов в сгенерированном коде.

Информация о командной строке

Свойство: InstancePrefix
Тип: Вектор символов
По умолчанию: 'u_'

Чтобы задать это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Почтовый индекс файла map

Укажите постфикс, добавленный в имя файла для сгенерированного файла отображения.

Настройки

По умолчанию: '_map.txt'

Задайте постфикс как вектор символов. HDL Coder добавляет постфикс к имени файла для сгенерированного файла отображения.

Например, если имя тестируемого устройства my_design, HDL Coder добавляет постфикс _map.txt для формирования имени my_design_map.txt.

Информация о командной строке

Свойство: HDLMapFilePostfix
Тип: Вектор символов
По умолчанию: '_map.txt'

Чтобы задать это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Для просмотра документации необходимо авторизоваться на сайте