Управляемая Генерация кода

Управляемая генерация кода с помощью диалогового окна Параметров конфигурации и Simulink HDL Workflow Advisor

Можно сгенерировать HDL-код для Simulink® модели из пользовательского интерфейса с помощью вкладки HDL Code на панели инструментов Simulink или с помощью диалогового окна Параметры конфигурации (Configuration Parameters). В этом диалоговом окне можно задать различные настройки генерации HDL-кода, включая базовую папку и выбор языка, для более продвинутых параметров оптимизации. Чтобы узнать о том, как сгенерировать HDL-код с помощью вкладки HDL Code, смотрите Генерация HDL-кода из модели Simulink.

Чтобы перенести сгенерированный код на целевое устройство, используйте Simulink HDL Workflow Advisor. Advisor может запускать сквозные рабочие процессы, которые проверяют совместимость HDL и развертывают сгенерированный код на целевом устройстве.

Функции

hdladvisorОтображение HDL Workflow Advisor
hdlsetupНастройте параметры модели для генерации HDL-кода
hdlsetuptoolpathНастройте системное окружение для доступа к программному обеспечению синтеза FPGA

Темы

Использование HDL Workflow Advisor

Рабочие процессы в HDL Workflow Advisor

Узнайте о HDL Workflow Advisor и различных рабочих процессах, которые вы можете выбрать, и платформах, которые вы можете использовать.

Начало работы с HDL Workflow Advisor

Изучение основ HDL Workflow Advisor и способов выполнения различных задач.

HDL Workflow Advisor

Описание задач HDL Workflow Advisor.

Сгенерируйте код и синтезируйте на FPGA, используя HDL Workflow Advisor

HDL Workflow Advisor проведёт вас через этапы генерации HDL-кода для подсистемы Simulink и процесса проекта FPGA, такие как:

Использование диалогового окна параметров конфигурации модели

Установите опции генерации HDL-кода

Доступ к опциям HDL в диалоговых окнах Параметров конфигурации и Model Explorer; Simulink Toolstrip, контекстное меню HDL-кода и указатели на связанную информацию.

Диалоговое окно опций HDL-кода в параметрах конфигурации

Доступ к опциям HDL в различных панелях на панели Генерация HDL-кода диалогового окна Параметры конфигурации модели.

Сгенерируйте HDL-код из модели Simulink с помощью параметров конфигурации

Используйте диалоговое окно Параметров конфигурации, чтобы сгенерировать HDL-код для вашей модели Simulink.

Obfuscate сгенерированный HDL-код из моделей Simulink

Узнать, как запутать сгенерированный VHDL® или Verilog® код из вашей модели.

Для просмотра документации необходимо авторизоваться на сайте