Специфичные для языка идентификаторы и параметры постфикса

Этот раздел содержит параметры в Clock Settings разделе панели HDL Code Generation > Global Settings диалогового окна Параметры конфигурации. Используя эти параметры, можно задать сущность, модуль и имя пакета postfix, а также префикс для имен модулей.

Постфикс конфликта сущностей

Укажите текст как вектор символов для разрешения повторяющихся VHDL® сущность или Verilog® имена модулей в сгенерированном коде.

Настройки

По умолчанию: _block

Указанный постфикс разрешает повторяющиеся имена сущностей VHDL или модулей Verilog.

Например, если HDL- Coder™ обнаруживает две сущности с именем MyFilterкодер называет первую сущность MyFilter и вторая сущность MyFilter_block.

Информация о командной строке

Свойство: EntityConflictPostfix
Тип: Вектор символов
Значение: Допустимый вектор символов на целевом языке
По умолчанию: '_block'

Чтобы задать это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Например, вы можете задать это свойство, когда вы генерируете HDL-код для symmetric_fir подсистема внутри sfir_fixed моделировать с использованием любого из этих методов.

  • Передайте свойство как аргумент в makehdl функция.

    makehdl('sfir_fixed/symmetric_fir', ... 
            'EntityConflictPostfix','_entity')
  • Когда вы используете hdlset_paramможно задать параметр на модели, а затем сгенерировать HDL-код используя makehdl.

    hdlset_param('sfir_fixed','EntityConflictPostfix','_entity')
    makehdl('sfir_fixed/symmetric_fir')

См. также

makehdl

Постфикс пакета

Задайте текст как вектор символов, чтобы добавить к имени модели или подсистемы имя формы файла пакета.

Настройки

По умолчанию: _pkg

HDL Coder применяет эту опцию только в том случае, если для проекта требуется файл пакета.

Зависимость

Эта опция включена, когда:

Конечным языком (заданным опцией Language ) является VHDL.

Целевой язык (заданный опцией Language ) является Verilog, и выбрана опция Multi-file test bench.

Информация о командной строке

Свойство: PackagePostfix
Тип: Вектор символов
Значение: Вектор символов, легальный в имени файла пакета VHDL
По умолчанию: '_pkg'

Чтобы задать это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Например, вы можете задать это свойство, когда вы генерируете HDL-код для symmetric_fir подсистема внутри sfir_fixed моделировать с использованием любого из этих методов.

  • Передайте свойство как аргумент в makehdl функция.

    makehdl('sfir_fixed/symmetric_fir', ... 
            'PackagePostfix','_pkg')
  • Когда вы используете hdlset_paramможно задать параметр на модели, а затем сгенерировать HDL-код используя makehdl.

    hdlset_param('sfir_fixed','PackagePostfix','_pkg')
    makehdl('sfir_fixed/symmetric_fir')

Зарезервированное слово postfix

Укажите текст в качестве вектора символов для добавления к именам значений, постфиксным значениям или меткам, которые являются зарезервированными словами VHDL или Verilog.

Настройки

По умолчанию: _rsvd

Зарезервированное слово postfix применяется к идентификаторам (для сущностей, сигналов, констант или других элементов модели), которые конфликтуют с VHDL или Verilog зарезервированными словами. Для примера, если ваша генерирующая модель содержит сигнал с именем mod, HDL Coder добавляет постфикс _rsvd для формирования имени mod_rsvd.

Информация о командной строке

Свойство: ReservedWordPostfix
Тип: Вектор символов
По умолчанию: '_rsvd'

Чтобы задать это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Например, вы можете задать это свойство, когда вы генерируете HDL-код для symmetric_fir подсистема внутри sfir_fixed моделировать с использованием любого из этих методов.

  • Передайте свойство как аргумент в makehdl функция.

    makehdl('sfir_fixed/symmetric_fir', ... 
            'ReservedWordPostfix','_reserved')
  • Когда вы используете hdlset_paramможно задать параметр на модели, а затем сгенерировать HDL-код используя makehdl.

    hdlset_param('sfir_fixed','ReservedWordPostfix','_reserved)
    makehdl('sfir_fixed/symmetric_fir')

Префикс имени модуля

Укажите префикс для каждого модуля или имени сущности в сгенерированном HDL-коде.

Настройки

По умолчанию: ''

Укажите префикс для каждого модуля или имени сущности в сгенерированном HDL-коде. HDL Coder также применяет этот префикс к именам сгенерированных файлов скриптов.

Можно задать префикс имени модуля, чтобы избежать конфликтов имен, если вы планируете создавать экземпляры сгенерированного HDL-кода несколько раз в большей системе.

Информация о командной строке

Свойство: ModulePrefix
Тип: Вектор символов
По умолчанию: ''

Чтобы задать это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Предположим, у вас есть DUT, myDut, содержащий внутренний модуль, myUnit. Можно префиксировать модули в проекте с помощью unit1_ при помощи любого из этих методов.

  • Передайте свойство как аргумент в makehdl функция.

    makehdl('myDUT', ... 
            'ModulePrefix','unit1_')
  • Когда вы используете hdlset_paramможно задать параметр на модели, а затем сгенерировать HDL-код используя makehdl.

    hdlset_param('myUnit/myDUT','ModulePrefix','unit1_')
    makehdl('myDUT')

В сгенерированном коде ваши имена HDL-модулей unit1_myDut и unit1_myUnit, с соответствующими именами файлов. Сгенерированные имена файлов скриптов также имеют unit1_ префикс.

Постфикс трубопровода

Укажите постфикс в качестве вектора символов для добавления к именам входа или выходных регистров трубопроводов, сгенерированных для реализации конвейерных блоков.

Настройки

По умолчанию: '_pipe'

Можно задать генерацию входа и/или выходных регистров конвейера для выбранных блоков. Опция Pipeline postfix задает вектор символов, который HDL Coder добавляет к именам входных или выходных регистров конвейера при генерации кода.

Информация о командной строке

Свойство: PipelinePostfix
Тип: Вектор символов
По умолчанию: '_pipe'

Чтобы задать это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Предположим, что вы задаете конвейерную выходную реализацию для блока Product в модели, как в следующем коде:

 hdlset_param('sfir_fixed/symmetric_fir/Product','OutputPipeline', 2)

Чтобы добавить постфикс- 'testpipe' для сгенерированных имен регистров трубопроводов используйте любой из следующих методов:

  • Передайте свойство как аргумент в makehdl функция.

    makehdl(gcb,'PipelinePostfix','testpipe')
  • Когда вы используете hdlset_paramможно задать параметр на модели, а затем сгенерировать HDL-код используя makehdl.

    hdlset_param(gcs,'PipelinePostfix','testpipe')
    makehdl('myDUT')

Следующий отрывок из сгенерированного кода VHDL показывает процесс PROCESS код с постфиксированными идентификаторами, который реализует два этапа конвейера:

Product_outtestpipe_process : PROCESS (clk, reset)
  BEGIN
    IF reset = '1' THEN
      Product_outtestpipe_reg <= (OTHERS => to_signed(0, 33));
    ELSIF clk'EVENT AND clk = '1' THEN
      IF enb = '1' THEN
        Product_outtestpipe_reg(0) <= Product_out1;
        Product_outtestpipe_reg(1) <= Product_outtestpipe_reg(0);
      END IF;
    END IF;
  END PROCESS Product_outtestpipe_process;
Для просмотра документации необходимо авторизоваться на сайте