Параметры выбора целевого языка и папки

Эта страница описывает параметры конфигурации в панели HDL Code Generation диалогового окна Параметры конфигурации. При помощи этих параметров можно задать Subsystem, для которых вы хотите сгенерировать HDL-код, целевой язык HDL и целевую папку, в которую генерируется код.

Сгенерируйте HDL для

Выберите подсистему или модель, из которой генерируется код. Список включает путь к корневой модели и к подсистемам в модели. Когда вы задаете этот параметр и нажимаете кнопку Generate, HDL Coder™ генерирует код для Subsystem, который вы задаете. По умолчанию HDL-код генерируется на языке VHDL и в hdlsrc папка.

Настройки

По умолчанию: Выбрана подсистема верхнего уровня в корневой модели.

Информация о командной строке

Свойство: HDLSubsystem
Тип: Вектор символов
Значение: Допустимый путь к подсистеме
По умолчанию: Путь к подсистеме верхнего уровня в корневой модели

Например, можно сгенерировать HDL-код для symmetric_fir подсистема внутри sfir_fixed моделировать с использованием любого из этих методов.

  • Задайте подсистему используя свойство HDLSubsystem как аргумент для makehdl.

    makehdl('sfir_fixed','HDLSubsystem','sfir_fixed/symmetric_fir')

  • Передайте путь к подсистеме в качестве первого аргумента makehdl.

    makehdl('sfir_fixed/symmetric_fir')

См. также makehdl.

Язык

Выберите язык (VHDL® или Verilog®), в котором генерируется код. Выбранный язык называется целевым. Когда вы задаете Language и нажимаете кнопку Generate, HDL Coder генерирует код на том языке для Subsystem, который задан параметром Generate HDL for. По умолчанию HDL-код генерируется в VHDL язык и в hdlsrc папка.

Сгенерированный HDL-код соответствует следующим стандартам:

  • VHDL-1993 (IEEE® 1076-1993)

  • Verilog-2001 (IEEE 1364-2001)

Настройки

По умолчанию: VHDL

VHDL

Сгенерируйте код VHDL.

Verilog

Сгенерируйте код Verilog.

Информация о командной строке

Свойство: TargetLanguage
Тип: Вектор символов
Значение: 'VHDL' | 'Verilog'
По умолчанию: 'VHDL'

Чтобы задать это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Для примера сгенерировать код Verilog для symmetric_fir подсистема внутри sfir_fixed модель, использовать любой из этих методов.

  • Передайте свойство как аргумент в makehdl функция.

    makehdl('sfir_fixed/symmetric_fir','TargetLanguage','Verilog')
  • Использовать hdlset_param чтобы задать параметр на модели. Затем сгенерируйте HDL-код, используя makehdl.

    hdlset_param('sfir_fixed','TargetLanguage','Verilog')
    makehdl('sfir_fixed/symmetric_fir')

См. также makehdl.

Папка

Введите путь к папке, в которую генерируется код. Кроме того, щелкните Browse, чтобы перейти к и выбрать папку. Выбранная папка упоминается как целевая. Когда вы задаете Folder и нажимаете кнопку Generate, HDL Coder генерирует код в эту папку для Subsystem, которая задается параметром Generate HDL for. По умолчанию HDL-код генерируется в VHDL язык и в hdlsrc папка.

Настройки

По умолчанию: Конечная папка по умолчанию является подпапкой рабочей папки с именем hdlsrc. HDL Coder записывает сгенерированные файлы в эту подпапку. Имя папки может быть полным именем пути, заданным как вектор символов.

Информация о командной строке

Свойство: TargetDirectory
Тип: Вектор символов
Значение: Допустимый путь к целевой папке
По умолчанию: 'hdlsrc'

Чтобы задать это свойство, используйте hdlset_param или makehdl. Чтобы просмотреть значение свойства, используйте hdlget_param.

Например, чтобы сгенерировать HDL-код в пользовательскую целевую папку для symmetric_fir подсистема внутри sfir_fixed модель, использовать любой из этих методов.

  • Передайте свойство как аргумент в makehdl функция.

    makehdl('sfir_fixed/symmetric_fir','TargetDirectory','C:/Temp/hdlsrc')
  • Использовать hdlset_param чтобы задать параметр на модели. Затем сгенерируйте HDL-код, используя makehdl.

    hdlset_param('sfir_fixed','TargetDirectory','C:/Temp/hdlsrc')
    makehdl('sfir_fixed/symmetric_fir')

См. также makehdl.

Восстановление параметров модели по умолчанию

Эта кнопка устанавливает значения по умолчанию в HDL-параметрах уровня модели. Настройки блоков не изменяются. Чтобы очистить настройки блоков, используйте hdlrestoreparams.

Примечание

Если вы очистите настройки уровня модели, вы не можете восстановить предыдущие настройки. Чтобы восстановить настройки, закройте модель, не сохраняя и затем снова откройте модель.

Информация о командной строке

Функция: hdlrestoreparams
Тип: Вектор символов
Значение: имя модели
По умолчанию: ''

Запуск проверки совместимости

Этот параметр проверяет, совместимо ли Subsystem, заданное при помощи Generate HDL for, для генерации HDL-кода. Этот параметр генерирует отчет о проверке HDL, в котором отображаются ошибки, предупреждения и сообщения. Смотрите раздел «Проверка совместимости HDL-файлов».

Информация о командной строке

Функция: checkhdl
Тип: Вектор символов
Значение: подсистема или имя модели
По умолчанию: ''

См. также

checkhdl

Произвести

Этот параметр генерирует HDL-код для Subsystem, которые вы задаете при помощи Generate HDL for. Если Subsystem не совместим с HDL, генератор кода отображает ошибки в отчете о проверке HDL.

Информация о командной строке

Функция: makehdl
Тип: Вектор символов
Значение: подсистема или имя модели
По умолчанию: ''

См. также

makehdl

Для просмотра документации необходимо авторизоваться на сайте