Настройка подключения MATLAB-HDL-симулятора

Запуск сервера MATLAB

Запуск MATLAB® сервер следующим образом:

  1. Запустите MATLAB.

  2. В Командном Окне MATLAB вызовите hdldaemon функция с парами имя свойства/property значения, которые определяют, должно ли программное обеспечение HDL- Verifier™ выполнять следующие задачи:

    • Используйте общую память или коммуникацию разъемов TCP/IP

    • Значения времени возврата в секундах или в виде 64-битных целых чисел

Посмотрите hdldaemon справочная документация для того, когда и как задать пары имя/значение свойства, и для других примеров использования hdldaemon.

Режим связи, который вы задаете (общая память или TCP/IP сокеты), должен совпадать с тем, что вы задаете для режима связи, когда вы инициализируете Симулятор HDL для использования с сеансом косимуляции MATLAB с помощью matlabtb или matlabcp функция. В сложение, если вы задаете режим сокета TCP/IP, порт сокета, который вы задаете с hdldaemon и matlabtb или matlabcp должен совпадать. Для получения дополнительной информации см. раздел «Порты разъема TCP/IP».

Сервер MATLAB может обслуживать несколько одновременных модулей Симулятора HDL и клиентов. Однако ваш код должен отслеживать вводы-выводы, связанные с каждой сущностью или клиентом.

Примечание

Вы не можете начать транзакцию HDL Verifier между MATLAB и симулятором HDL из MATLAB. Сервер MATLAB просто отвечает на запросы вызова функции, которые он получает от симулятора HDL.

Эта команда устанавливает связь между сокетами на порте 4449 и задает 64-разрядный формат разрешения по времени для выходных портов функции MATLAB.

hdldaemon('socket',4449,'time','int64')

Начните Симулятор HDL

Запустите симулятор HDL непосредственно из MATLAB, вызвав функцию HDL Verifier vsim или nclaunch.

>>vsim

Вы можете позвонить vsim или nclaunch с дополнительными параметрами; для получения дополнительной информации см. страницы с описанием.

Вы должны убедиться, что Симулятор HDL исполняемые файлы - также называемые vsim (ModelSim®) и nclaunch (Cadence Incisive)®) - находятся в системном пути. Инструкции по настройке переменных окружения см. в документации по системе.

Пользователи Linux

Убедитесь, что исполняемый файл Симулятора HDL все еще находится в системном пути после запуска интерпретатора из MATLAB. Если это не так, убедитесь, что интерпретатор файл запуска не удаляет его из переменного пути окружения.

Загрузка проекта для верификации

После запуска Симулятора HDL из MATLAB с вызовом на vsim или nclaunch, загрузите образец HDL-модуля для верификации или визуализации с функцией vsimmatlab или hdlsimmatlab. На данной точке вы должны были закодировать и скомпилировать свою модель. Выдать функцию vsimmatlab или hdlsimmatlab для каждого образца сущности или модуля в модели, которые необходимо косимулировать. Для примера (для использования с Incisive®):

hdlsimmatlab work.osc_top

Эта команда загружает библиотеку HDL Verifier, открывает рабочую область симуляции для osc_top, и отображать серию сообщений в командном окне Симулятора HDL, когда симулятор загружает сущность (см. пример для оставшегося кода).

Для просмотра документации необходимо авторизоваться на сайте